increase PC41 dupe time, add CTY-2004 data
authorDirk Koopman <djk@tobit.co.uk>
Wed, 16 Jun 2010 16:14:58 +0000 (17:14 +0100)
committerDirk Koopman <djk@tobit.co.uk>
Wed, 16 Jun 2010 16:14:58 +0000 (17:14 +0100)
Changes
data/cty.dat
data/prefix_data.pl
perl/DXProt.pm
perl/Version.pm

diff --git a/Changes b/Changes
index 8562017b651e0cb12cac18e5b5151ee97dce530d..4865b15b738b21b183db03886fadcf7f2f188519 100644 (file)
--- a/Changes
+++ b/Changes
@@ -1,3 +1,6 @@
+16Jun10=======================================================================
+1. Increase default ephemeral dupe time for PC41 and such like lines.
+2. Include CTY-2004 updates.
 08Apr10=======================================================================
 1. Modify console.pl so that it works in a Windows Cmd window. Get a Windows
 Curses ppd from: http://cpan.uwinnipeg.ca/dist/Curses.
index 69db05e9c32001d84249ef4eea684f28b1d69c6c..919a48f88ccd41840dade057bf2f37235afa9521 100644 (file)
@@ -43,9 +43,9 @@ Sri Lanka:                22:  41:  AS:    7.00:   -79.90:    -5.5:  4S:
 ITU HQ Geneva:            14:  28:  EU:   46.20:    -6.20:    -1.0:  4U1I:
     =4U1ITU,=4U1WRC;
 United Nations HQ:        05:  08:  NA:   40.80:    74.00:     5.0:  4U1U:
-    =4U1UN,=4U64UN;
+    =4U1UN;
 Vienna Intl Ctr:          15:  28:  EU:   48.20:   -16.30:    -1.0:  *4U1V:
-    =4U1VIC,=4U1WED,=4U30VIC;
+    =4U10NPT,=4U1VIC;
 Timor-Leste:              28:  54:  OC:   -8.60:  -125.50:    -9.0:  4W:
     4W;
 Israel:                   20:  39:  AS:   31.80:   -35.20:    -2.0:  4X:
@@ -111,7 +111,7 @@ Nepal:                    22:  42:  AS:   27.70:   -85.30:   -5.75:  9N:
 Rep. of Congo:            36:  52:  AF:   -4.30:   -15.30:    -1.0:  9Q:
     9O,9P,9Q,9R,9S,9T;
 Burundi:                  36:  52:  AF:   -3.30:   -29.30:    -2.0:  9U:
-    9U;
+    9U,=VERSION;
 Singapore:                28:  54:  AS:    1.30:  -103.80:    -8.0:  9V:
     9V,S6;
 Rwanda:                   36:  52:  AF:   -2.00:   -30.10:    -2.0:  9X:
@@ -163,8 +163,7 @@ China:                    24:  44:  AS:   40.00:  -116.40:    -8.0:  BY:
     BY3L(23)[33],BY9M(24)[33],BY9N(24)[33],BY9O(24)[33],BY9P(24)[33],BY9Q(24)[33],
     BY9R(24)[33],BY9S(24)[33],BZ,BZ3G(23)[33],BZ3H(23)[33],BZ3I(23)[33],
     BZ3J(23)[33],BZ3K(23)[33],BZ3L(23)[33],BZ9M(24)[33],BZ9N(24)[33],
-    BZ9O(24)[33],BZ9P(24)[33],BZ9Q(24)[33],BZ9R(24)[33],BZ9S(24)[33],XS,
-    =VE7AF/BY7KH;
+    BZ9O(24)[33],BZ9P(24)[33],BZ9Q(24)[33],BZ9R(24)[33],BZ9S(24)[33],XS;
 Nauru:                    31:  65:  OC:   -0.50:  -166.90:   -12.0:  C2:
     C2;
 Andorra:                  14:  27:  EU:   42.50:    -1.50:    -1.0:  C3:
@@ -185,14 +184,14 @@ Juan Fernandez Islands:   12:  14:  SA:  -33.60:    78.80:     4.0:  CE0Z:
     3G0Z,CA0Z,CB0Z,CC0Z,CD0Z,CE0I,CE0Z,XQ0Z,XR0Z;
 Antarctica:               13:  74:  SA:  -65.00:    64.00:    -4.0:  CE9:
     ANT,AX0,FT0Y(30)[70],FT2Y(30)[70],FT4Y(30)[70],FT5Y(30)[70],FT8Y(30)[70],
-    LU1Z[73],R1AN,VH0(39)[69],VI0(39)[69],VJ0(39)[69],VK0(39)[69],VL0(39)[69],
-    VM0(39)[69],VN0(39)[69],VZ0(39)[69],ZL5(30)[71],ZM5(30)[71],ZS7(38)[67],
-    =8J1RF(39)[67],=8J1RL(39)[67],=AT10BP(38),=DP0GVN(38)[67],=DP1POL(38)[67],
-    =KC4/WB9YSD(12),=KC4AAA(39),=KC4AAC[73],=KC4USB(12)[72],=KC4USV(30)[71],
-    =LU4ZS[73],=OP0LE(38)[67],=OR4TN(38)[67],=R1ANB(29)[69],=R1ANC(29)[70],
-    =R1AND(38)[67],=R1ANH(32)[72],=R1ANL(30)[70],=R1ANY(38)[67],
-    =SM/OH2FFP(38)[67],=VP8DJB[73],=VP8DKF(30)[71],=VP8DLJ[73],=VP8DMH[73],
-    =VP8PJ[73],=VP8ROT[73],=VU3BPZ(38),=VU3BPZ/A(38);
+    LU1Z[73],R1AN,RI1AN,VH0(39)[69],VI0(39)[69],VJ0(39)[69],VK0(39)[69],
+    VL0(39)[69],VM0(39)[69],VN0(39)[69],VZ0(39)[69],ZL5(30)[71],ZM5(30)[71],
+    ZS7(38)[67],=8J1RF(39)[67],=8J1RL(39)[67],=AT10BP(38),=DP0GVN(38)[67],
+    =DP1POL(38)[67],=KC4/WB9YSD(12),=KC4AAA(39),=KC4AAC[73],=KC4USB(12)[72],
+    =KC4USV(30)[71],=LU4ZS[73],=OP0LE(38)[67],=OR4TN(38)[67],=R1ANB(29)[69],
+    =R1ANC(29)[70],=R1AND(38)[67],=R1ANH(32)[72],=R1ANP(39)[69],
+    =R1ANY(38)[67],=SM/OH2FFP(38)[67],=VP8DJB[73],=VP8DKF(30)[71],=VP8DLJ[73],
+    =VP8DMH[73],=VP8PJ[73],=VP8ROT[73],=VU3BPZ(38),=VU3BPZ/A(38);
 Cuba:                     08:  11:  NA:   21.50:    80.00:     5.0:  CM:
     CL,CM,CO,T4;
 Morocco:                  33:  37:  AF:   32.00:     5.00:     0.0:  CN:
@@ -304,44 +303,45 @@ Kerguelen:                39:  68:  AF:  -49.30:   -69.20:    -5.0:  FT5X:
 Amsterdam & St. Paul:     39:  68:  AF:  -37.70:   -77.60:    -5.0:  FT5Z:
     FT0Z,FT2Z,FT4Z,FT5Z,FT8Z;
 Wallis & Futuna Islands:  32:  62:  OC:  -13.30:   176.30:   -12.0:  FW:
-    FW;
+    FW,=TO2BNL;
 French Guiana:            09:  12:  SA:    4.90:    52.30:     3.0:  FY:
     FY;
 England:                  14:  27:  EU:   51.50:     0.10:     0.0:  G:
     2E,G,M;
 Isle of Man:              14:  27:  EU:   54.30:     4.50:     0.0:  GD:
     2D,2T,GD,GT,MD,MT,=GB0AOA,=GB0MST,=GB0WCY,=GB100MER,=GB100TT,=GB125SR,
-    =GB2IOM,=GB2MAD,=GB2WB,=GB3GD,=GB4IOM,=GB4MNH,=GB4WXM/P,=GB50UN,=GB5LB,
-    =GB5MOB,=GB5TD,=GB6SPC;
+    =GB1RT,=GB2IOM,=GB2MAD,=GB2RT,=GB2WB,=GB3GD,=GB4IOM,=GB4MNH,=GB4WXM/P,
+    =GB50UN,=GB5LB,=GB5MOB,=GB5TD,=GB6SPC;
 Northern Ireland:         14:  27:  EU:   54.60:     5.90:     0.0:  GI:
     2I,2N,GI,GN,MI,MN,=GB0BTC,=GB0BVC,=GB0CI,=GB0CSC,=GB0DDF,=GB0GPF,=GB0MAR,
-    =GB0MFD,=GB0PSM,=GB0REL,=GB0SHC,=GB0SIC,=GB0SPD,=GB0TCH,=GB0WOA,=GB1AFP,
-    =GB1BPM,=GB1HF,=GB1SPD,=GB1SRI,=GB2AD,=GB2IL,=GB2LL,=GB2MAC,=GB2MGY,
-    =GB2MRI,=GB2NIC,=GB2NTU,=GB2STI,=GB2TCA,=GB3MNI,=GB4CSC,=GB4ES,=GB4SPD,
-    =GB50AAD,=GB5BIG,=GB5BL,=GB5SPD,=GB90SOM;
+    =GB0MFD,=GB0PSM,=GB0REL,=GB0SBG,=GB0SHC,=GB0SIC,=GB0SPD,=GB0TCH,=GB0WOA,
+    =GB1AFP,=GB1BPM,=GB1HF,=GB1SPD,=GB1SRI,=GB2AD,=GB2IL,=GB2LL,=GB2MAC,
+    =GB2MGY,=GB2MRI,=GB2NIC,=GB2NTU,=GB2SPD,=GB2STI,=GB2TCA,=GB3MNI,=GB4CSC,
+    =GB4ES,=GB4SPD,=GB50AAD,=GB5BIG,=GB5BL,=GB5SPD,=GB90SOM;
 Jersey:                   14:  27:  EU:   49.30:     2.20:     0.0:  GJ:
     2H,2J,GH,GJ,MH,MJ,=GB0CLR,=GB0GUD,=GB0JSA,=GB0SHL,=GB2BYL,=GB2JSA,=GB4BHF,
     =GB50JSA,=GB8LMI;
 Scotland:                 14:  27:  EU:   55.80:     4.30:     0.0:  GM:
     2A,2M,2S,GA,GM,GS,MA,MM,MS,=GB0AC,=GB0ATC,=GB0BNC,=GB0BWT,=GB0DGL,=GB0FFS,
-    =GB0FLA,=GB0GDS,=GB0GEI,=GB0GHD,=GB0GKR,=GB0GNE,=GB0HHW,=GB0KGS,=GB0KKS,
-    =GB0KTC,=GB0LCS,=GB0LTM,=GB0MFG,=GB0MLM,=GB0MOG,=GB0MOL,=GB0NHL,=GB0OS,
-    =GB0OYT,=GB0PPE,=GB0QWM,=GB0RBS,=GB0SHP,=GB0SI,=GB0SK,=GB0SKY,=GB0SS,
-    =GB0SSF,=GB0TI,=GB100MAS,=GB10SP,=GB125BRC,=GB150NRL,=GB1EPC,=GB1FRS,
-    =GB1FS,=GB1FVT,=GB1GEO,=GB1OL,=GB250RB,=GB2AGG,=GB2AST,=GB2AYR,=GB2CHG,
-    =GB2DHS,=GB2DTM,=GB2FBM,=GB2FIO,=GB2FSM,=GB2GEO,=GB2GNL,=GB2GTM,=GB2HI,
-    =GB2HLB,=GB2HRH,=GB2HST,=GB2HSW,=GB2IAS,=GB2IGB,=GB2IGS,=GB2IMM,=GB2IOC,
-    =GB2IOG,=GB2IOT,=GB2JUNO,=GB2KDS,=GB2KHL,=GB2LAY,=GB2LBN,=GB2LCL,=GB2LCP,
-    =GB2LGB,=GB2LHI,=GB2LMG,=GB2LNM,=GB2LO,=GB2LP,=GB2LS,=GB2LSS,=GB2LT,
-    =GB2LTH,=GB2LTN,=GB2MAS,=GB2MDG,=GB2MOD,=GB2MOF,=GB2MSL,=GB2MUL,=GB2NAG,
-    =GB2NBC,=GB2NCL,=GB2NEF,=GB2NL,=GB2NTS,=GB2OWM,=GB2OYC,=GB2PBF,=GB2PS,
-    =GB2RB,=GB2RRL,=GB2SKG,=GB2SLH,=GB2SPD,=GB2SSF,=GB2STB,=GB2TDS,=GB2TI,
-    =GB2WBB,=GB3GM,=GB400CA,=GB4AAS,=GB4CGW,=GB4DAS,=GB4GM,=GB4LNM,=GB4NFE,
-    =GB4PMS,=GB4RAF,=GB4SLH,=GB4TSR,=GB4ZBS,=GB50ATC,=GB50JS,=GB50SWL,=GB5AST,
-    =GB5BBS,=GB5CO,=GB5FHC,=GB5JS,=GB5OL,=GB5RO,=GB5SI,=GB5TI,=GB60BBC,
-    =GB60CRB,=GB60NTS,=GB6MI,=GB6SA,=GB6SM,=GB6TAA,=GB6WW,=GB700BSB,=GB75GD,
-    =GB75SCP,=GB75STT,=GB8AYR,=GB8CA,=GB8CF,=GB8CI,=GB8CM,=GB8CN,=GB8CO,
-    =GB8CSL,=GB8CY,=GB8FF,=GB8OO,=GB8RU,=GB93AM;
+    =GB0FLA,=GB0FSG,=GB0GDS,=GB0GEI,=GB0GGR,=GB0GHD,=GB0GKR,=GB0GNE,=GB0HHW,
+    =GB0KGS,=GB0KKS,=GB0KTC,=GB0LCS,=GB0LTM,=GB0MFG,=GB0MLM,=GB0MOG,=GB0MOL,
+    =GB0NHL,=GB0OS,=GB0OYT,=GB0PPE,=GB0QWM,=GB0RBS,=GB0SHP,=GB0SI,=GB0SK,
+    =GB0SKY,=GB0SS,=GB0SSF,=GB0TI,=GB100MAS,=GB10SP,=GB125BRC,=GB150NRL,
+    =GB1EPC,=GB1FRS,=GB1FS,=GB1FVT,=GB1GEO,=GB1OL,=GB250RB,=GB2AGG,=GB2AST,
+    =GB2AYR,=GB2CHG,=GB2DHS,=GB2DTM,=GB2FBM,=GB2FIO,=GB2FSM,=GB2GEO,=GB2GNL,
+    =GB2GTM,=GB2HI,=GB2HLB,=GB2HRH,=GB2HST,=GB2HSW,=GB2IAS,=GB2IGB,=GB2IGS,
+    =GB2IMM,=GB2IOC,=GB2IOG,=GB2IOT,=GB2JUNO,=GB2KDS,=GB2KHL,=GB2LAY,=GB2LBN,
+    =GB2LCL,=GB2LCP,=GB2LGB,=GB2LHI,=GB2LMG,=GB2LNM,=GB2LO,=GB2LP,=GB2LS,
+    =GB2LSS,=GB2LT,=GB2LTH,=GB2LTN,=GB2MAS,=GB2MDG,=GB2MOD,=GB2MOF,=GB2MSL,
+    =GB2MUL,=GB2NAG,=GB2NBC,=GB2NCL,=GB2NEF,=GB2NL,=GB2NTS,=GB2OWM,=GB2OYC,
+    =GB2PBF,=GB2PS,=GB2RB,=GB2RRL,=GB2SKG,=GB2SLH,=GB2SSF,=GB2STB,=GB2TDS,
+    =GB2TI,=GB2WBB,=GB3GM,=GB400CA,=GB4AAS,=GB4CGW,=GB4DAS,=GB4DTD,=GB4GM,
+    =GB4LNM,=GB4NFE,=GB4PMS,=GB4RAF,=GB4SLH,=GB4TSR,=GB4ZBS,=GB50ATC,=GB50JS,
+    =GB50SWL,=GB5AST,=GB5BBS,=GB5CO,=GB5FHC,=GB5JS,=GB5OL,=GB5RO,=GB5SI,
+    =GB5TI,=GB60BBC,=GB60CRB,=GB60NTS,=GB6MI,=GB6SA,=GB6SM,=GB6TAA,=GB6WW,
+    =GB700BSB,=GB75GD,=GB75SCP,=GB75STT,=GB8AYR,=GB8CA,=GB8CF,=GB8CI,=GB8CM,
+    =GB8CN,=GB8CO,=GB8CSL,=GB8CY,=GB8FF,=GB8OO,=GB8RU,=GB8RUM,=GB93AM,
+    =GG100GCC,=GG100GGR;
 Shetland and Fair Isle:   14:  27:  EU:   60.40:     1.50:     0.0:  *GM/s:
     GZ,MZ,=2M0BDR,=2M0BDT,=2M0ZET,=GB2ELH,=GM0AVR,=GM0CXQ,=GM0CYJ,=GM0DJI,
     =GM0EKM,=GM0ILB,=GM0ULK,=GM1KKI,=GM1ZNR,=GM3KLA,=GM3WHT,=GM3ZET,=GM3ZNM,
@@ -353,18 +353,19 @@ Guernsey:                 14:  27:  EU:   49.50:     2.70:     0.0:  GU:
     2P,2U,GP,GU,MP,MU,=GB0GUC,=GB0JAG,=GB0ON,=GB0U,=GB2ECG,=GB2FG,=GB2GU,
     =GB50LIB;
 Wales:                    14:  27:  EU:   51.50:     3.20:     0.0:  GW:
-    2C,2W,2X,2Y,GB0CCE,GC,GW,MC,MW,=GB0AD,=GB0CLC,=GB0CVA,=GB0GCR,=GB0GIW,
-    =GB0GLV,=GB0GMD,=GB0HEL,=GB0HMT,=GB0ML,=GB0MPA,=GB0MWL,=GB0NEW,=GB0NG,
-    =GB0PSG,=GB0RPO,=GB0RSC,=GB0SDD,=GB0SH,=GB0SOA,=GB0SPS,=GB0SRH,=GB0TD,
-    =GB0TTT,=GB0VK,=GB0WRC,=GB0YG,=GB100BD,=GB100BP,=GB100FI,=GB100LP,=GB1CCC,
-    =GB1HAS,=GB1LSG,=GB1SL,=GB1SSL,=GB1TDS,=GB2000SET,=GB200A,=GB200HNT,
-    =GB2ADU,=GB2ANG,=GB2CI,=GB2CPC,=GB2EI,=GB2GGM,=GB2GLS,=GB2GOL,=GB2GSG,
-    =GB2GSS,=GB2HDG,=GB2IMD,=GB2LNP,=GB2LSA,=GB2MHL,=GB2MIL,=GB2MLM,=GB2MOP,
-    =GB2RFS,=GB2RSC,=GB2RTB,=GB2SAC,=GB2SDD,=GB2SIP,=GB2TD,=GB2TTA,=GB2VK,
-    =GB2WDS,=GB2WFF,=GB2WHO,=GB2WSF,=GB4BPL,=GB4CI,=GB4DPS,=GB4HMD,=GB4HMM,
-    =GB4LRG,=GB4LSG,=GB4MD,=GB4MDI,=GB4MUU,=GB4NDG,=GB4SA,=GB4SDD,=GB4SMM,
-    =GB4SNF,=GB4TMS,=GB4XXX,=GB50ATG,=GB5BS/J,=GB5FI,=GB5GEO,=GB5ONG,=GB5SIP,
-    =GB60BTF,=GB60VLY,=GB65BTF,=GB6AR,=GB6GW,=GB6OQA,=GB750CC,=GB8OQE;
+    2C,2W,2X,2Y,GB0CCE,GC,GW,MC,MW,=GB0AD,=GB0CLC,=GB0CVA,=GB0FHI,=GB0GCR,
+    =GB0GIW,=GB0GLV,=GB0GMD,=GB0HEL,=GB0HMT,=GB0ML,=GB0MPA,=GB0MWL,=GB0NEW,
+    =GB0NG,=GB0PSG,=GB0RPO,=GB0RSC,=GB0SDD,=GB0SH,=GB0SOA,=GB0SPS,=GB0SRH,
+    =GB0TD,=GB0TTT,=GB0VK,=GB0WRC,=GB0YG,=GB100BD,=GB100BP,=GB100FI,=GB100LP,
+    =GB1CCC,=GB1HAS,=GB1LSG,=GB1SL,=GB1SSL,=GB1TDS,=GB2000SET,=GB200A,
+    =GB200HNT,=GB2ADU,=GB2ANG,=GB2CAM,=GB2CI,=GB2CPC,=GB2EI,=GB2GGM,=GB2GLS,
+    =GB2GOL,=GB2GSG,=GB2GSS,=GB2HDG,=GB2IMD,=GB2LNP,=GB2LSA,=GB2MHL,=GB2MIL,
+    =GB2MLM,=GB2MOP,=GB2RFS,=GB2RSC,=GB2RTB,=GB2SAC,=GB2SDD,=GB2SIP,=GB2TD,
+    =GB2TTA,=GB2VK,=GB2WDS,=GB2WFF,=GB2WHO,=GB2WSF,=GB4BPL,=GB4CI,=GB4DPS,
+    =GB4HMD,=GB4HMM,=GB4LRG,=GB4LSG,=GB4MD,=GB4MDI,=GB4MUU,=GB4NDG,=GB4SA,
+    =GB4SDD,=GB4SMM,=GB4SNF,=GB4TMS,=GB4XXX,=GB50ATG,=GB5BS/J,=GB5FI,=GB5GEO,
+    =GB5ONG,=GB5SIP,=GB60BTF,=GB60VLY,=GB65BTF,=GB6AR,=GB6GW,=GB6OQA,=GB750CC,
+    =GB8MD,=GB8OQE;
 Solomon Islands:          28:  51:  OC:   -9.40:  -160.00:   -11.0:  H4:
     H4;
 Temotu:                   32:  51:  OC:  -10.70:  -165.80:   -11.0:  H40:
@@ -372,7 +373,7 @@ Temotu:                   32:  51:  OC:  -10.70:  -165.80:   -11.0:  H40:
 Hungary:                  15:  28:  EU:   47.50:   -19.10:    -1.0:  HA:
     HA,HG;
 Switzerland:              14:  28:  EU:   47.00:    -7.50:    -1.0:  HB:
-    HB,HE,=4U1AIDS;
+    HB,HE;
 Liechtenstein:            14:  28:  EU:   47.20:    -9.60:    -1.0:  HB0:
     HB0,HE0;
 Ecuador:                  10:  12:  SA:   -0.20:    78.00:     6.0:  HC:
@@ -445,75 +446,75 @@ United States:            05:  08:  NA:   43.00:    87.90:     5.0:  K:
     AA,AB,AC,AD,AE,AF,AG,AI,AJ,AK,K,N,W,=4U1WB,=AA4NU(4),=AA4Q(3)[6],=AA4U(4),
     =AA6DY(4)[8],=AA7CP(4)[7],=AA7JV(5)[8],=AA9DY(5),=AB1HZ(4)[7],=AB4GG(4),
     =AB4IQ(4),=AC2K(3)[6],=AC4CA(4)[7],=AC4TT(4)[7],=AC8Y(5),=AD1C(4)[7],
-    =AD4EB(4),=AD8J(5),=AG3R(4),=AG3V(4)[7],=AG4W(4),=AH6FC(3)[6],=AI1P(4)[7],
-    =AI4DB(4),=AI4G(4),=AJ4F(4)[7],=AL4T(5)[8],=AL7QQ(4)[7],=K0COP(5)[8],
-    =K0DQ(5)[8],=K0IP(3)[6],=K0JJ(3)[6],=K0LUZ(5)[8],=K0MZN(3)[6],=K0PJ(3)[6],
-    =K0TV(5)[8],=K0ZR(5)[8],=K1GU(4),=K1KD(4)[7],=K1LT(4),=K1NG(4),=K2AAW(4),
-    =K2BA(4)[7],=K2HT(4)[7],=K2RD(3)[6],=K2RP(3)[6],=K2UR(4),=K2VV(4)[7],
-    =K3CQ(4),=K3FH(4),=K3GP(4),=K3IE(4),=K3TD(4)[7],=K3WT(4)[7],=K4AB(4),
-    =K4AMC(4),=K4APG(4),=K4BP(4),=K4BX(4),=K4CX(4),=K4DZR(4),=K4EDI(4),
-    =K4EJQ(4),=K4FT(4),=K4HAL(4),=K4IE(4),=K4IQJ(4),=K4IU(4)[7],=K4KO(4),
-    =K4LTA(4),=K4NP(3)[6],=K4NVJ(4),=K4RO(4),=K4SAV(4),=K4SKY(4),=K4SX(4)[7],
-    =K4TD(4),=K4UY(4),=K4WI(4),=K4WW(4),=K4XG(4),=K4XU(3)[6],=K4YMQ(4),
-    =K4ZGB(4),=K5AUP(5)[8],=K5EK(5)[8],=K5KG(5)[8],=K5MA(5)[8],=K5ML(3)[6],
-    =K5MO(5)[8],=K5RQ(5)[8],=K5RR(3)[6],=K5VIP(5)[8],=K5ZD(5)[8],=K6EID(5)[8],
-    =K6FRC/B2(3)[6],=K6FRC/B3(3)[6],=K6FRC/B4(3)[6],=K6JRY(5)[8],=K6MJ(4)[7],
-    =K6ND(5)[8],=K6PJ(4)[6],=K6XT(4)[7],=K7ABV(4)[6],=K7BG(4)[6],=K7CMZ(5)[8],
-    =K7CS(5)[8],=K7IA(4)[7],=K7OM(5)[8],=K7RE(4)[7],=K7SCX(4)[7],=K7SV(5)[8],
-    =K7TD(4)[7],=K7VU(4)[7],=K8AC(5),=K8BN(3)[6],=K8IA(3)[6],=K8JQ(5),
-    =K8MN(5),=K8NYG(5),=K8OQL(5),=K8PO(5),=K8WV(5),=K8YC(5),=K9JDV(3)[6],
-    =K9JF(3)[6],=K9JM(3)[6],=K9OM(5),=K9RS(5),=K9VV(5),=K9WZB(3)[6],
-    =K9YC(3)[6],=K9ZU(5),=KA2EYH(4),=KA4OTB(4),=KA4U(4),=KA6SGT(4)[8],
-    =KA8Q(5),=KB4AMA(4),=KB7Q(4)[6],=KB8V(5),=KC4HW(4),=KC4SAW(4),
-    =KC7UP(4)[6],=KC8GCR(5),=KD4HXT(3)[6],=KD4POJ(4)[7],=KD4SN(4),=KD5M(5)[8],
-    =KE1B(3)[6],=KE3D(4)[7],=KE4KWE(4),=KE4KY(4),=KE4TG(4),=KE4UNA(4),
-    =KE4YVD(4),=KE5HDE(5)[8],=KF4MH(4),=KF7CG(4)[8],=KF7NN(5)[8],=KG4CUY(4),
-    =KG4W,=KG7HF(5)[8],=KH2OP(4)[7],=KH6JIM/7(3)[6],=KH6JJN(3)[6],
-    =KH7WW(3)[6],=KI4EZC(4),=KJ4BIW(4),=KL1SE(4)[8],=KL5Z(4)[7],=KL7AH(4)[7],
-    =KL7GLK(5)[8],=KL7OO(3)[6],=KL7WP(3)[6],=KM4JA(4),=KN4Q(4),=KN5H(3)[6],
-    =KN6X(4)[8],=KO4OL(4),=KO4PU(4),=KO4XJ(4),=KO4Y(4),=KO7X(4)[7],
-    =KP2F(4)[8],=KP3M(5)[8],=KP4TR(5)[8],=KR4F(4),=KS4X(4),=KS7T(4)[6],
-    =KT2Z(4)[7],=KT6D(5)[8],=KU1CW(4)[7],=KU4PY(4),=KU8E(5),=KX9X(5),
-    =KY0W(3)[6],=KY4F(4),=KZ4V(4),=N1CC(4)[7],=N1KEZ(3)[6],=N1QXV(4)[7],
-    =N1WQ(4)[7],=N2BJ(4),=N2BZP(3)[6],=N2IC(4)[7],=N2NS(4),=N2OPW(4),=N2WN(4),
-    =N3BB(4)[7],=N3BUO(4)[7],=N3HE(4),=N3KCJ(3)[6],=N3ZZ(3)[6],=N4AAI(4),
-    =N4ARO(4),=N4AU(4),=N4BCB(4),=N4CB(3)[6],=N4CBK(4),=N4CPA(4),=N4DW(4),
-    =N4GK(4),=N4GN(4),=N4HID(4),=N4IJ(4)[7],=N4IL(4),=N4IR(4),=N4JF(4),
-    =N4KC(4),=N4KG(4),=N4KZ(4),=N4LS(3)[6],=N4LW(4),=N4NM(4),=N4NO(4),
-    =N4OGW(4)[8],=N4QS(4),=N4RR(4),=N4TZ(4),=N4UC(4),=N4VI(4)[7],=N4VN(4),
-    =N4VV(4),=N4ZI(4),=N4ZZ(4),=N5IE(5)[8],=N5RA(3)[6],=N6AR(5)[8],
-    =N6CY(5)[8],=N6VX(5)[8],=N7DC(5)[8],=N7FF(4)[7],=N7GVV(4)[8],=N7IV(4)[7],
-    =N7KA(4)[7],=N7NG(4)[6],=N7US(4)[8],=N8CL(5),=N8GZ(3)[6],=N8II(5),
-    =N8NA(5),=N8PR(5),=N8RA(5),=N8RR(5),=N8WXQ(5),=N9ADG(3)[6],=N9NC(5),
-    =NA2U(3)[6],=NA4C(4),=NA4K(4),=NA4M(4)[7],=NB4M(4),=NB7V(4)[6],
-    =ND2T(3)[6],=ND4X(4),=NE4M(4),=NE8J(5),=NH0Y(3)[6],=NH6CN(4)[8],
-    =NH7C(5)[8],=NH7FL(4)[7],=NI9K(5),=NJ4I(4),=NK8Q(5),=NL7QC(4)[7],
-    =NL7XM(5)[8],=NM4K(4),=NN7A(4)[7],=NO9E(5),=NP2CB(5)[8],=NP2F(4)[7],
-    =NP3D(5)[8],=NQ4U(4),=NR7DX(4)[6],=NS0I(5)[8],=NS2X(4),=NU4B(4),=NV4B(4),
-    =NW8U(5),=NX2PX(4),=NX9T(5),=NY4N(4),=W0BR(5)[8],=W0JLC(5)[8],
-    =W0QQG(5)[8],=W0YK(3)[6],=W0YR(5)[8],=W1ESE(3)[6],=W1NN(4),=W1RET(4),
-    =W1RH(3)[6],=W2OO(4),=W2UP(4)[7],=W2VJN(3)[6],=W2VKT(3)[6],=W3CP(3)[6],
-    =W3FAF(4)[7],=W3HDH(4),=W3HKK(4),=W3IQ(4),=W3RI(3)[6],=W4BCG(4),=W4BK(4),
-    =W4CID(4),=W4DAN(4),=W4DDR(4),=W4DVG(4),=W4EEH(4),=W4FIN(4),=W4GHD(4),
-    =W4GKM(4),=W4HOD(4),=W4HYT(4),=W4HZD(4),=W4JSI(4),=W4KW(4),=W4LC(4),
-    =W4LSC(3)[6],=W4LWW(4),=W4NBS(4),=W4NI(4),=W4NTI(4),=W4NZ(4),=W4PA(4),
-    =W4PV(4),=W4RJ(4),=W4RK(4)[7],=W4RYW(4),=W4SK(4),=W4TGB(4),=W4TKI(4),
-    =W4UAT(3)[6],=W4UHF(4),=W4UR(4),=W4WL(4),=W4YOK(4)[7],=W5JBV(5)[8],
-    =W5JR(3)[6],=W6AAN(5)[8],=W6HGF(5)[8],=W6IHG(5)[8],=W6KGP(4)[7],
-    =W6LFB(4)[7],=W6NRJ(5)[8],=W6NWS(5)[8],=W6PU(4)[7],=W6UB(4)[8],
-    =W6XR(5)[8],=W7DO(5)[8],=W7FB(4)[7],=W7FG(4)[7],=W7HJ(5)[8],=W7IMP(5)[8],
-    =W7IY(5)[8],=W7JI(4)[7],=W7JW(4)[8],=W7PP(4)[8],=W7QF(5)[8],=W8AEF(3)[6],
-    =W8AKS(5),=W8FJ(5),=W8HC(5),=W8HGH(5),=W8JA(5),=W8JI(5),=W8OHT(5),
-    =W8PC(5),=W8QZA(3)[6],=W8RJL(5),=W8WEJ(5),=W8WVM(5),=W8ZA(5),=W9CF(3)[6],
-    =W9GE(5),=W9NGA(3)[6],=W9PL(3)[6],=W9RUK(5),=WA0KDS(3)[6],=WA0WWW(3)[6],
-    =WA1FCN(4),=WA1PMA(3)[6],=WA1UJU(4),=WA2MNO(4)[7],=WA3C(4),=WA4JA(4),
-    =WA4OSD(4),=WA4SM(4),=WA5VGI(3)[6],=WA7NPX(4)[7],=WA8OJR(5),=WA8WV(5),
-    =WB4JVR(4),=WB4YDL(4),=WB4ZBI(4),=WB8BPU(5),=WB8IMY(5),=WB8YYY(5),
-    =WC2Z(4),=WC4V(4),=WC7S(4)[7],=WC7V(4)[6],=WC7WB(4)[7],=WD9GMK(5),
-    =WF7T(4)[8],=WG7Y(4)[7],=WJ9B(5),=WK5X(5)[8],=WL7LZ(4)[7],=WO4O(4),
-    =WP4JBG(4)[7],=WP4O(5)[8],=WR4F(4),=WR5G(3)[6],=WS1L(4)[7],=WS4Y(4)[7],
-    =WS9M(5),=WT5L(5)[8],=WU9B(3)[6],=WV8AA(5),=WW2Y(4)[7],=WX4TM(4),
-    =WX5S(3)[6],=WY5I(5)[8],=WY7I(4)[7],=WY7LL(4)[7],=WZ4F(4);
+    =AD4EB(4),=AD8J(5),=AG3R(4),=AG3V(4)[7],=AG4W(4),=AH2AR(5)[8],
+    =AH6FC(3)[6],=AI1P(4)[7],=AI4DB(4),=AI4G(4),=AJ4F(4)[7],=AL4T(5)[8],
+    =AL7QQ(4)[7],=K0COP(5)[8],=K0DQ(5)[8],=K0IP(3)[6],=K0JJ(3)[6],
+    =K0LUZ(5)[8],=K0MZN(3)[6],=K0PJ(3)[6],=K0TV(5)[8],=K0ZR(5)[8],=K1GU(4),
+    =K1KD(4)[7],=K1LT(4),=K1NG(4),=K2AAW(4),=K2BA(4)[7],=K2HT(4)[7],
+    =K2RD(3)[6],=K2RP(3)[6],=K2UR(4),=K2VV(4)[7],=K3CQ(4),=K3FH(4),=K3GP(4),
+    =K3IE(4),=K3TD(4)[7],=K3WT(4)[7],=K4AB(4),=K4AMC(4),=K4APG(4),=K4BP(4),
+    =K4BX(4),=K4CX(4),=K4DZR(4),=K4EDI(4),=K4EJQ(4),=K4FT(4),=K4HAL(4),
+    =K4IE(4),=K4IQJ(4),=K4IU(4)[7],=K4KO(4),=K4LTA(4),=K4NP(3)[6],=K4NVJ(4),
+    =K4RO(4),=K4SAV(4),=K4SKY(4),=K4SX(4)[7],=K4TD(4),=K4UY(4),=K4WI(4),
+    =K4WW(4),=K4XG(4),=K4XU(3)[6],=K4YMQ(4),=K4ZGB(4),=K5AUP(5)[8],
+    =K5EK(5)[8],=K5KG(5)[8],=K5MA(5)[8],=K5ML(3)[6],=K5MO(5)[8],=K5RQ(5)[8],
+    =K5RR(3)[6],=K5VIP(5)[8],=K5ZD(5)[8],=K6EID(5)[8],=K6FRC/B2(3)[6],
+    =K6FRC/B3(3)[6],=K6FRC/B4(3)[6],=K6JRY(5)[8],=K6MJ(4)[7],=K6ND(5)[8],
+    =K6PJ(4)[6],=K6XT(4)[7],=K7ABV(4)[6],=K7BG(4)[6],=K7CMZ(5)[8],=K7CS(5)[8],
+    =K7IA(4)[7],=K7OM(5)[8],=K7RE(4)[7],=K7SCX(4)[7],=K7SV(5)[8],=K7TD(4)[7],
+    =K7VU(4)[7],=K8AC(5),=K8BN(3)[6],=K8IA(3)[6],=K8JQ(5),=K8MN(5),=K8NYG(5),
+    =K8OQL(5),=K8PO(5),=K8WV(5),=K8YC(5),=K9JDV(3)[6],=K9JF(3)[6],=K9JM(3)[6],
+    =K9OM(5),=K9RS(5),=K9VV(5),=K9WZB(3)[6],=K9YC(3)[6],=K9ZU(5),=KA2EYH(4),
+    =KA4OTB(4),=KA4U(4),=KA6SGT(4)[8],=KA8Q(5),=KB4AMA(4),=KB7Q(4)[6],
+    =KB8V(5),=KC4HW(4),=KC4SAW(4),=KC7UP(4)[6],=KC8GCR(5),=KD4HXT(3)[6],
+    =KD4POJ(4)[7],=KD4SN(4),=KD5M(5)[8],=KE1B(3)[6],=KE3D(4)[7],=KE4KWE(4),
+    =KE4KY(4),=KE4TG(4),=KE4UNA(4),=KE4YVD(4),=KE5HDE(5)[8],=KF4MH(4),
+    =KF7CG(4)[8],=KF7NN(5)[8],=KG4CUY(4),=KG4W,=KG7HF(5)[8],=KH2OP(4)[7],
+    =KH6JIM/7(3)[6],=KH6JJN(3)[6],=KH7WW(3)[6],=KI4EZC(4),=KJ4BIW(4),
+    =KL1SE(4)[8],=KL5Z(4)[7],=KL7AH(4)[7],=KL7GLK(5)[8],=KL7OO(3)[6],
+    =KL7WP(3)[6],=KM4JA(4),=KN4Q(4),=KN5H(3)[6],=KN6X(4)[8],=KO4OL(4),
+    =KO4PU(4),=KO4XJ(4),=KO4Y(4),=KO7X(4)[7],=KP2F(4)[8],=KP3M(5)[8],
+    =KP4TR(5)[8],=KR4F(4),=KS4X(4),=KS7T(4)[6],=KT2Z(4)[7],=KT6D(5)[8],
+    =KU1CW(4)[7],=KU4PY(4),=KU8E(5),=KX9X(5),=KY0W(3)[6],=KY4F(4),=KZ4V(4),
+    =N1CC(4)[7],=N1KEZ(3)[6],=N1QXV(4)[7],=N1WQ(4)[7],=N2BJ(4),=N2BZP(3)[6],
+    =N2IC(4)[7],=N2NS(4),=N2OPW(4),=N2WN(4),=N3BB(4)[7],=N3BUO(4)[7],=N3HE(4),
+    =N3KCJ(3)[6],=N3ZZ(3)[6],=N4AAI(4),=N4ARO(4),=N4AU(4),=N4BCB(4),
+    =N4CB(3)[6],=N4CBK(4),=N4CPA(4),=N4DW(4),=N4GK(4),=N4GN(4),=N4HID(4),
+    =N4IJ(4)[7],=N4IL(4),=N4IR(4),=N4JF(4),=N4KC(4),=N4KG(4),=N4KZ(4),
+    =N4LS(3)[6],=N4LW(4),=N4NM(4),=N4NO(4),=N4OGW(4)[8],=N4QS(4),=N4RR(4),
+    =N4TZ(4),=N4UC(4),=N4VI(4)[7],=N4VN(4),=N4VV(4),=N4ZI(4),=N4ZZ(4),
+    =N5IE(5)[8],=N5RA(3)[6],=N6AR(5)[8],=N6CY(5)[8],=N6VX(5)[8],=N7DC(5)[8],
+    =N7FF(4)[7],=N7GVV(4)[8],=N7IV(4)[7],=N7KA(4)[7],=N7NG(4)[6],=N7US(4)[8],
+    =N8CL(5),=N8GZ(3)[6],=N8II(5),=N8NA(5),=N8PR(5),=N8RA(5),=N8RR(5),
+    =N8WXQ(5),=N9ADG(3)[6],=N9NC(5),=NA2U(3)[6],=NA4C(4),=NA4K(4),=NA4M(4)[7],
+    =NB4M(4),=NB7V(4)[6],=ND2T(3)[6],=ND4X(4),=NE4M(4),=NE8J(5),=NH0Y(3)[6],
+    =NH6CN(4)[8],=NH7C(5)[8],=NH7FL(4)[7],=NI9K(5),=NJ4I(4),=NK8Q(5),
+    =NL7QC(4)[7],=NL7XM(5)[8],=NM4K(4),=NN7A(4)[7],=NO9E(5),=NP2CB(5)[8],
+    =NP2F(4)[7],=NP3D(5)[8],=NQ4U(4),=NR7DX(4)[6],=NS0I(5)[8],=NS2X(4),
+    =NU4B(4),=NV4B(4),=NW8U(5),=NX2PX(4),=NX9T(5),=NY4N(4),=W0BR(5)[8],
+    =W0JLC(5)[8],=W0QQG(5)[8],=W0YK(3)[6],=W0YR(5)[8],=W1ESE(3)[6],=W1NN(4),
+    =W1RET(4),=W1RH(3)[6],=W2OO(4),=W2UP(4)[7],=W2VJN(3)[6],=W2VKT(3)[6],
+    =W3CP(3)[6],=W3FAF(4)[7],=W3HDH(4),=W3HKK(4),=W3IQ(4),=W3RI(3)[6],
+    =W4BCG(4),=W4BK(4),=W4CID(4),=W4DAN(4),=W4DDR(4),=W4DVG(4),=W4EEH(4),
+    =W4FIN(4),=W4GHD(4),=W4GKM(4),=W4HOD(4),=W4HYT(4),=W4HZD(4),=W4JSI(4),
+    =W4KW(4),=W4LC(4),=W4LSC(3)[6],=W4LWW(4),=W4NBS(4),=W4NI(4),=W4NTI(4),
+    =W4NZ(4),=W4PA(4),=W4PV(4),=W4RJ(4),=W4RK(4)[7],=W4RYW(4),=W4SK(4),
+    =W4TGB(4),=W4TKI(4),=W4UAT(3)[6],=W4UHF(4),=W4UR(4),=W4WL(4),=W4YOK(4)[7],
+    =W5JBV(5)[8],=W5JR(3)[6],=W6AAN(5)[8],=W6HGF(5)[8],=W6IHG(5)[8],
+    =W6KGP(4)[7],=W6LFB(4)[7],=W6NRJ(5)[8],=W6NWS(5)[8],=W6PU(4)[7],
+    =W6UB(4)[8],=W6XR(5)[8],=W7DO(5)[8],=W7FB(4)[7],=W7FG(4)[7],=W7HJ(5)[8],
+    =W7IMP(5)[8],=W7IY(5)[8],=W7JI(4)[7],=W7JW(4)[8],=W7PP(4)[8],=W7QF(5)[8],
+    =W8AEF(3)[6],=W8AKS(5),=W8FJ(5),=W8HC(5),=W8HGH(5),=W8JA(5),=W8JI(5),
+    =W8OHT(5),=W8PC(5),=W8QZA(3)[6],=W8RJL(5),=W8WEJ(5),=W8WVM(5),=W8ZA(5),
+    =W9CF(3)[6],=W9GE(5),=W9NGA(3)[6],=W9PL(3)[6],=W9RUK(5),=WA0KDS(3)[6],
+    =WA0WWW(3)[6],=WA1FCN(4),=WA1PMA(3)[6],=WA1UJU(4),=WA2MNO(4)[7],=WA3C(4),
+    =WA4JA(4),=WA4OSD(4),=WA4SM(4),=WA5VGI(3)[6],=WA7NPX(4)[7],=WA8OJR(5),
+    =WA8WV(5),=WB4JVR(4),=WB4YDL(4),=WB4ZBI(4),=WB8BPU(5),=WB8IMY(5),
+    =WB8YYY(5),=WC2Z(4),=WC4V(4),=WC7S(4)[7],=WC7V(4)[6],=WC7WB(4)[7],
+    =WD9GMK(5),=WF7T(4)[8],=WG7Y(4)[7],=WJ9B(5),=WK5X(5)[8],=WL7LZ(4)[7],
+    =WO4O(4),=WP4JBG(4)[7],=WP4O(5)[8],=WR4F(4),=WR5G(3)[6],=WS1L(4)[7],
+    =WS4Y(4)[7],=WS9M(5),=WT5L(5)[8],=WU9B(3)[6],=WV8AA(5),=WW2Y(4)[7],
+    =WX4TM(4),=WX5S(3)[6],=WY5I(5)[8],=WY7I(4)[7],=WY7LL(4)[7],=WZ4F(4);
 Guantanamo Bay:           08:  11:  NA:   19.90:    75.20:     5.0:  KG4:
     KG4;
 Mariana Islands:          27:  64:  OC:   15.20:  -145.80:   -10.0:  KH0:
@@ -531,7 +532,7 @@ Palmyra & Jarvis Islands: 31:  61:  OC:    5.90:   162.10:    11.0:  KH5:
 Kingman Reef:             31:  61:  OC:    6.40:   162.40:    11.0:  KH5K:
     AH5K,KH5K,NH5K,WH5K;
 Hawaii:                   31:  61:  OC:   21.30:   157.90:    10.0:  KH6:
-    AH6,AH7,KH6,KH7,NH6,NH7,WH6,WH7,=N6KB,=N7I;
+    AH6,AH7,KH6,KH7,NH6,NH7,WH6,WH7,=N6KB;
 Kure Island:              31:  61:  OC:   28.40:   178.40:    10.0:  KH7K:
     AH7K,KH7K,NH7K,WH7K;
 American Samoa:           32:  62:  OC:  -14.30:   170.80:    11.0:  KH8:
@@ -545,7 +546,7 @@ Alaska:                   01:  01:  NA:   61.20:   150.00:     9.0:  KL:
 Navassa Island:           08:  11:  NA:   18.40:    75.00:     5.0:  KP1:
     KP1,NP1,WP1;
 U.S. Virgin Islands:      08:  11:  NA:   18.30:    64.90:     4.0:  KP2:
-    KP2,NP2,WP2,=K2V,=KV4FZ;
+    KP2,NP2,WP2,=KV4FZ;
 Puerto Rico:              08:  11:  NA:   18.50:    66.20:     4.0:  KP4:
     KP3,KP4,NP3,NP4,WP3,WP4;
 Desecheo Island:          08:  11:  NA:   18.30:    67.50:     4.0:  KP5:
@@ -556,56 +557,64 @@ Argentina:                13:  14:  SA:  -34.60:    58.40:     3.0:  LU:
     AY,AZ,L2,L3,L4,L5,L6,L7,L8,L9,LO,LP,LQ,LR,LS,LT,LU,LV,LW,=AY0N/X,=AY3DR/D,
     =AY4EJ/D,=AY5E/D,=AY7DSY/D,=DJ4SN/LU/X,=L20ARC/D,=L21ESC/LH,=L25E/D,
     =L30EY/D,=L30EY/V,=L40E/D,=L44D/D,=L80AA/D,=L84VI/D,=L8D/X,=LO0D/D,
-    =LO7E/D,=LR1A/D,=LU/DH4PB/R,=LU/DH4PB/S,=LU1AEE/D,=LU1AF/D,=LU1ARG/D,
-    =LU1AS/D,=LU1ASP/D,=LU1BCE/D,=LU1CDP/D,=LU1DDK/D,=LU1DHO/D,=LU1DK/D,
-    =LU1DMA/E,=LU1DZ/D,=LU1DZ/E,=LU1DZ/L,=LU1DZ/P,=LU1DZ/Q,=LU1DZ/R,=LU1DZ/S,
-    =LU1DZ/X,=LU1EEZ/D,=LU1EJ/W,=LU1EQ/D,=LU1EUU/W,=LU1EYW/D,=LU1HH/H,
-    =LU1LAA/L,=LU1LT/L,=LU1OFN/I,=LU1QA/Q,=LU1UM/U,=LU1VOF/D,=LU1VOF/V,
-    =LU1VZ/V,=LU1WCR/W,=LU1WF/W,=LU1WJF/W,=LU1WP/W,=LU1XAW/X,=LU1XWC/E,
-    =LU1XY/X,=LU1XZ/H,=LU1YU/D,=LU1YY/D,=LU1YY/Y,=LU2AGQ/D,=LU2BPM/D,
-    =LU2CRM/XA,=LU2DSV/N,=LU2DT/D,=LU2DT/LH,=LU2DVF/D,=LU2DVI/H,=LU2EE/D,
-    =LU2EE/E,=LU2EGP/D,=LU2EJB/X,=LU2VC/D,=LU2VC/V,=LU2VCD/V,=LU2VDV/D,
-    =LU2WA/W,=LU2WV/O,=LU2XBI/XA,=LU2XX/X,=LU2XX/XP,=LU3AOI/D,=LU3CQ/D,
-    =LU3DC/D,=LU3DJI/D,=LU3DJI/W,=LU3DOC/D,=LU3DR/D,=LU3DR/V,=LU3DR/W,
-    =LU3DXG/D,=LU3DXG/W,=LU3DZO/D,=LU3EOU/D,=LU3ES/D,=LU3ES/V,=LU3ES/W,
+    =LO7E/D,=LR1A/D,=LU/DH4PB/R,=LU/DH4PB/S,=LU0ARC/H,=LU1AEE/D,=LU1AF/D,
+    =LU1ARG/D,=LU1AS/D,=LU1ASP/D,=LU1BCE/D,=LU1CDP/D,=LU1CSP/D,=LU1DDK/D,
+    =LU1DHO/D,=LU1DK/D,=LU1DKD/D,=LU1DMA/E,=LU1DZ/D,=LU1DZ/E,=LU1DZ/L,
+    =LU1DZ/P,=LU1DZ/Q,=LU1DZ/R,=LU1DZ/S,=LU1DZ/X,=LU1EEZ/D,=LU1EJ/W,=LU1EQ/D,
+    =LU1EUU/D,=LU1EUU/W,=LU1EYW/D,=LU1HH/H,=LU1HLH/D,=LU1LAA/L,=LU1LT/L,
+    =LU1OFN/I,=LU1QA/Q,=LU1UM/U,=LU1VEG/V,=LU1VFP/V,=LU1VOF/D,=LU1VOF/V,
+    =LU1VZ/V,=LU1WCR/W,=LU1WF/W,=LU1WJF/W,=LU1WJY/W,=LU1WP/W,=LU1XAW/X,
+    =LU1XWC/E,=LU1XY/X,=LU1XZ/H,=LU1YU/D,=LU1YY/D,=LU1YY/Y,=LU2AGQ/D,
+    =LU2AHB/D,=LU2BPM/D,=LU2CM/D,=LU2CRM/XA,=LU2DSV/N,=LU2DT/D,=LU2DT/LH,
+    =LU2DVF/D,=LU2DVI/H,=LU2EE/D,=LU2EE/E,=LU2EGP/D,=LU2EJB/X,=LU2VC/D,
+    =LU2VC/V,=LU2VCD/V,=LU2VDV/D,=LU2WA/W,=LU2WV/O,=LU2XBI/XA,=LU2XX/X,
+    =LU2XX/XP,=LU3ADC/D,=LU3AOI/D,=LU3CQ/D,=LU3DAT/D,=LU3DC/D,=LU3DC/V,
+    =LU3DJI/D,=LU3DJI/W,=LU3DOC/D,=LU3DR/D,=LU3DR/V,=LU3DR/W,=LU3DXG/D,
+    =LU3DXG/W,=LU3DZO/D,=LU3EJD/D,=LU3EOU/D,=LU3ES/D,=LU3ES/V,=LU3ES/W,
     =LU3HKA/D,=LU3HKA/H,=LU3VAL/V,=LU4AAO/D,=LU4BR/D,=LU4DA/D,=LU4DBP/D,
-    =LU4DBT/D,=LU4DCY/D,=LU4DDL/V,=LU4DQ/D,=LU4DQQ/D,=LU4DRC/Y,=LU4DRH/D,
-    =LU4DRH/E,=LU4EHP/V,=LU4EJ/D,=LU4ELE/D,=LU4ESP/D,=LU4ETN/D,=LU4ETN/W,
-    =LU4EV/Q,=LU4HMA/W,=LU4JJ/J,=LU4LAD/L,=LU4LBU/L,=LU4UWZ/D,=LU4UZW/D,
-    =LU4WG/W,=LU5ANL/D,=LU5BE/D,=LU5BE/XA,=LU5BOJ/O,=LU5DEM/D,=LU5DEM/V,
-    =LU5DEM/W,=LU5DIT/D,=LU5DIT/V,=LU5DIT/W,=LU5DLH/D,=LU5DRV/D,=LU5DRV/V,
-    =LU5DT/D,=LU5DV/D,=LU5DWS/D,=LU5EAO/D,=LU5EFX/Y,=LU5EHC/D,=LU5EJL/D,
-    =LU5EWO/D,=LU5FZ/D,=LU5HTA/H,=LU5LBV/L,=LU5VAS/D,=LU5VAT/D,=LU5XC/X,
-    =LU6AER/D,=LU6CN/D,=LU6DBL/D,=LU6DBL/W,=LU6DDC/D,=LU6DG/D,=LU6DIO/D,
+    =LU4DBT/D,=LU4DBT/W,=LU4DCY/D,=LU4DDL/V,=LU4DLL/D,=LU4DQ/D,=LU4DQQ/D,
+    =LU4DRC/D,=LU4DRC/Y,=LU4DRH/D,=LU4DRH/E,=LU4EHP/V,=LU4EJ/D,=LU4ELE/D,
+    =LU4ESP/D,=LU4ETN/D,=LU4ETN/W,=LU4EV/Q,=LU4HMA/W,=LU4JJ/J,=LU4LAD/L,
+    =LU4LBU/L,=LU4UWZ/D,=LU4UZW/D,=LU4WG/W,=LU5ANL/D,=LU5BE/D,=LU5BE/XA,
+    =LU5BOJ/O,=LU5CRE/D,=LU5DA/D,=LU5DEM/D,=LU5DEM/V,=LU5DEM/W,=LU5DFR/D,
+    =LU5DIT/D,=LU5DIT/V,=LU5DIT/W,=LU5DKE/D,=LU5DLH/D,=LU5DRV/D,=LU5DRV/V,
+    =LU5DT/D,=LU5DV/D,=LU5DWS/D,=LU5DZ/H,=LU5EAO/D,=LU5EFX/Y,=LU5EHC/D,
+    =LU5EJL/D,=LU5EWO/D,=LU5FHD/F,=LU5FZ/D,=LU5HR/H,=LU5HTA/H,=LU5JU/J,
+    =LU5LBV/L,=LU5VAS/D,=LU5VAT/D,=LU5XC/X,=LU5XP/X,=LU6ADS/D,=LU6AER/D,
+    =LU6CN/D,=LU6DBL/D,=LU6DBL/V,=LU6DBL/W,=LU6DDC/D,=LU6DG/D,=LU6DIO/D,
     =LU6DKT/D,=LU6DRD/D,=LU6DRD/E,=LU6DRN/D,=LU6DRR/D,=LU6DSA/D,=LU6DTB/D,
-    =LU6EC/W,=LU6EE/D,=LU6EJJ/D,=LU6EPE/D,=LU6EPR/D,=LU6EPR/E,=LU6EU/D,
-    =LU6EVD/D,=LU6EYK/X,=LU6HAS/H,=LU6JJ/D,=LU6UAL/D,=LU6UO/D,=LU6UO/P,
-    =LU6UO/Q,=LU6UO/R,=LU6UO/S,=LU6UO/X,=LU6WG/W,=LU6XAH/X,=LU7AC/D,=LU7ADC/D,
-    =LU7ART/D,=LU7BTO/D,=LU7BTO/E,=LU7DBL/D,=LU7DCE/D,=LU7DID/V,=LU7DID/Y,
-    =LU7DIR/D,=LU7DIR/E,=LU7DJJ/W,=LU7DP/D,=LU7DR/D,=LU7DSY/D,=LU7DSY/V,
-    =LU7DSY/W,=LU7DW/D,=LU7DZ/H,=LU7DZL/D,=LU7DZL/E,=LU7EGH/V,=LU7EGY/D,
-    =LU7EHL/D,=LU7EO/D,=LU7EPC/D,=LU7EPC/W,=LU7FJ/F,=LU7HBL/D,=LU7HW/D,
-    =LU7VCH/D,=LU7WFM/W,=LU7WW/W,=LU8ADX/D,=LU8AE/D,=LU8ARI/D,=LU8DCH/D,
-    =LU8DCH/Q,=LU8DCK/D,=LU8DIP/D,=LU8DR/D,=LU8DRA/D,=LU8DRA/W,=LU8DRH/D,
-    =LU8DSJ/D,=LU8DTF/D,=LU8DWR/D,=LU8DWR/V,=LU8DWR/W,=LU8EAJ/D,=LU8EBJ/D,
-    =LU8EBJ/E,=LU8EBK/D,=LU8EBK/E,=LU8ECF/D,=LU8ECF/E,=LU8EEM/D,=LU8EFF/D,
-    =LU8EGS/D,=LU8EHQ/D,=LU8EHQ/E,=LU8EHQ/W,=LU8EHS/D,=LU8EHV/D,=LU8EKB/W,
-    =LU8EKC/D,=LU8EOT/X,=LU8EOT/Y,=LU8ERH/D,=LU8EXJ/D,=LU8EXN/D,=LU8FC/F,
-    =LU8FOZ/V,=LU8HAR/H,=LU8VCC/D,=LU8WFT/Q,=LU8XC/X,=LU8XW/X,=LU8XW/XD,
-    =LU9AOS/D,=LU9ARB/D,=LU9AUC/D,=LU9CAF/H,=LU9DA/D,=LU9DBK/X,=LU9DE/D,
-    =LU9DF/D,=LU9DGE/D,=LU9DKX/X,=LU9DO/D,=LU9DPD/D,=LU9DPD/XA,=LU9EI/D,
-    =LU9EI/F,=LU9EJS/E,=LU9ESD/D,=LU9ESD/F,=LU9ESD/V,=LU9ESD/W,=LU9ESD/Y,
-    =LU9EV/D,=LU9EV/LH,=LU9EY/D,=LU9HVR/D,=LU9JMG/J,=LU9LEW/L,=LW1DAL/D,
-    =LW1DE/D,=LW1DXH/D,=LW1EXU/D,=LW1EXU/Y,=LW1HCM/H,=LW1HDI/H,=LW2DX/E,
-    =LW2DX/P,=LW2DX/Q,=LW2DX/R,=LW2DX/S,=LW2DX/Y,=LW2ECM/D,=LW2EFS/D,
-    =LW2ENB/D,=LW3DJC/D,=LW3DKC/D,=LW3DKC/E,=LW3DKO/D,=LW3DKO/E,=LW3HAQ/D,
-    =LW3HBS/H,=LW4DOR/D,=LW4DRH/D,=LW4DRH/E,=LW4DRV/D,=LW4DTM/D,=LW4DXH/D,
-    =LW4ECV/D,=LW4EIN/D,=LW4EM/E,=LW4EM/LH,=LW4ESY/D,=LW5DFR/D,=LW5DLY/D,
-    =LW5DR/D,=LW5DR/LH,=LW5DUS/D,=LW5DWX/D,=LW5EE/D,=LW5EE/V,=LW5EOL/D,
-    =LW6DTM/D,=LW6EFR/D,=LW7DAF/D,=LW7DAF/W,=LW7DFD/D,=LW7DLY/D,=LW7DNS/E,
-    =LW7DWX/D,=LW7EDH/D,=LW7EJV/D,=LW7WFM/W,=LW8DMK/D,=LW8DMK/W,=LW8EAG/D,
-    =LW8ECQ/D,=LW8EU/D,=LW8EXF/D,=LW9DAE/W,=LW9DCF/Y,=LW9DMM/D,=LW9DX/D,
-    =LW9EAG/D,=LW9EAG/V,=LW9EAG/W,=LW9EVA/D,=LW9EVA/E;
+    =LU6EC/D,=LU6EC/W,=LU6EE/D,=LU6EJJ/D,=LU6EPE/D,=LU6EPR/D,=LU6EPR/E,
+    =LU6EU/D,=LU6EVD/D,=LU6EYK/X,=LU6FN/D,=LU6HAS/H,=LU6JJ/D,=LU6UAL/D,
+    =LU6UO/D,=LU6UO/P,=LU6UO/Q,=LU6UO/R,=LU6UO/S,=LU6UO/X,=LU6WAZ/W,=LU6WG/W,
+    =LU6XAH/X,=LU7AC/D,=LU7ADC/D,=LU7ART/D,=LU7BTO/D,=LU7BTO/E,=LU7CP/D,
+    =LU7DBL/D,=LU7DCE/D,=LU7DID/V,=LU7DID/Y,=LU7DIR/D,=LU7DIR/E,=LU7DJJ/W,
+    =LU7DP/D,=LU7DR/D,=LU7DSU/D,=LU7DSY/D,=LU7DSY/V,=LU7DSY/W,=LU7DW/D,
+    =LU7DZ/H,=LU7DZL/D,=LU7DZL/E,=LU7DZV/D,=LU7EC/D,=LU7EGH/V,=LU7EGY/D,
+    =LU7EHL/D,=LU7EO/D,=LU7EPC/D,=LU7EPC/W,=LU7FJ/F,=LU7HBL/D,=LU7HSG/H,
+    =LU7HW/D,=LU7VCH/D,=LU7WFM/W,=LU7WW/W,=LU7YZ/V,=LU8ADX/D,=LU8AE/D,
+    =LU8ARI/D,=LU8DCH/D,=LU8DCH/Q,=LU8DCK/D,=LU8DIP/D,=LU8DMD/D,=LU8DR/D,
+    =LU8DRA/D,=LU8DRA/W,=LU8DRH/D,=LU8DSJ/D,=LU8DTF/D,=LU8DWR/D,=LU8DWR/V,
+    =LU8DWR/W,=LU8EAJ/D,=LU8EBJ/D,=LU8EBJ/E,=LU8EBK/D,=LU8EBK/E,=LU8ECF/D,
+    =LU8ECF/E,=LU8EEM/D,=LU8EFF/D,=LU8EGC/D,=LU8EGS/D,=LU8EHQ/D,=LU8EHQ/E,
+    =LU8EHQ/W,=LU8EHS/D,=LU8EHV/D,=LU8EKB/W,=LU8EKC/D,=LU8EOT/X,=LU8EOT/Y,
+    =LU8ERH/D,=LU8EXJ/D,=LU8EXN/D,=LU8FC/F,=LU8FOZ/V,=LU8HAR/H,=LU8SAN/F,
+    =LU8VCC/D,=LU8VER/V,=LU8WFT/Q,=LU8XC/X,=LU8XW/X,=LU8XW/XD,=LU9AOS/D,
+    =LU9ARB/D,=LU9AUC/D,=LU9CAF/H,=LU9DA/D,=LU9DBK/X,=LU9DE/D,=LU9DEQ/D,
+    =LU9DF/D,=LU9DGE/D,=LU9DKX/X,=LU9DO/D,=LU9DPD/D,=LU9DPD/XA,=LU9DPZ/E,
+    =LU9DVO/D,=LU9EI/D,=LU9EI/F,=LU9EJS/E,=LU9ENH/D,=LU9ESD/D,=LU9ESD/F,
+    =LU9ESD/V,=LU9ESD/W,=LU9ESD/Y,=LU9EV/D,=LU9EV/E,=LU9EV/LH,=LU9EY/D,
+    =LU9EY/V,=LU9HVR/D,=LU9JMG/J,=LU9LEW/L,=LU9WDK/H,=LV7E/D,=LW1DAL/D,
+    =LW1DDX/D,=LW1DE/D,=LW1DEW/D,=LW1DXH/D,=LW1EXU/D,=LW1EXU/Y,=LW1HCM/H,
+    =LW1HDI/H,=LW2DVM/V,=LW2DX/E,=LW2DX/P,=LW2DX/Q,=LW2DX/R,=LW2DX/S,=LW2DX/Y,
+    =LW2ECM/D,=LW2EFS/D,=LW2ENB/D,=LW3DJC/D,=LW3DKC/D,=LW3DKC/E,=LW3DKO/D,
+    =LW3DKO/E,=LW3HAQ/D,=LW3HBS/H,=LW4DOR/D,=LW4DRH/D,=LW4DRH/E,=LW4DRV/D,
+    =LW4DTM/D,=LW4DXH/D,=LW4ECV/D,=LW4EIN/D,=LW4EM/E,=LW4EM/LH,=LW4ESY/D,
+    =LW4ETG/D,=LW5DAD/D,=LW5DFR/D,=LW5DLY/D,=LW5DR/D,=LW5DR/LH,=LW5DUS/D,
+    =LW5DWX/D,=LW5EE/D,=LW5EE/V,=LW5EOL/D,=LW6DTM/D,=LW6EAK/D,=LW6EFR/D,
+    =LW7DAF/D,=LW7DAF/W,=LW7DFD/D,=LW7DLY/D,=LW7DNS/E,=LW7DWX/D,=LW7EDH/D,
+    =LW7EJV/D,=LW7WFM/W,=LW8DMK/D,=LW8DMK/W,=LW8EAG/D,=LW8ECQ/D,=LW8EU/D,
+    =LW8EXF/D,=LW9DAE/W,=LW9DCF/Y,=LW9DHU/D,=LW9DMM/D,=LW9DX/D,=LW9EAG/D,
+    =LW9EAG/V,=LW9EAG/W,=LW9EVA/D,=LW9EVA/E;
 Luxembourg:               14:  27:  EU:   49.60:    -6.20:    -1.0:  LX:
     LX;
 Lithuania:                15:  29:  EU:   54.50:   -25.50:    -2.0:  LY:
@@ -666,9 +675,9 @@ Trindade & Martim Vaz:    11:  15:  SA:  -20.50:    29.30:     2.0:  PY0T:
 Suriname:                 09:  12:  SA:    4.00:    56.00:     3.0:  PZ:
     PZ;
 Franz Josef Land:         40:  75:  EU:   80.00:   -53.00:    -3.0:  R1FJ:
-    FJL,R1FJ;
+    FJL,R1FJ,RI1FJ;
 Malyj Vysotskij:          16:  29:  EU:   60.40:   -28.40:    -3.0:  R1MV:
-    MVI,R1MV;
+    MVI,R1MV,RI1MV;
 Western Sahara:           33:  46:  AF:   22.00:    15.00:     0.0:  S0:
     S0;
 Bangladesh:               22:  41:  AS:   23.70:   -90.40:    -6.0:  S2:
@@ -732,7 +741,7 @@ Central African Rep:      36:  47:  AF:    4.40:   -18.60:    -1.0:  TL:
 Congo:                    36:  52:  AF:   -4.30:   -15.30:    -1.0:  TN:
     TN;
 Gabon:                    36:  52:  AF:    0.40:    -9.50:    -1.0:  TR:
-    TR,=VERSION;
+    TR;
 Chad:                     36:  47:  AF:   12.10:   -15.00:    -1.0:  TT:
     TT;
 Cote d'Ivoire:            35:  46:  AF:    5.30:     4.00:     0.0:  TU:
@@ -746,65 +755,128 @@ European Russia:          16:  29:  EU:   55.80:   -37.60:    -3.0:  UA:
     RK1N[19],RK1O[19],RK1Z[19],RK4W[30],RM1N[19],RM1O[19],RM1Z[19],RM4W[30],
     RN1N[19],RN1O[19],RN1Z[19],RN4W[30],RU1N[19],RU1O[19],RU1Z[19],RU4W[30],
     RV1N[19],RV1O[19],RV1Z[19],RV4W[30],RW1N[19],RW1O[19],RW1Z[19],RW4W[30],U,
-    UA1N[19],UA1O[19],UA1Z[19],UA4W[30],=R7C,=R7C/1,=R7C/3,=R7C/4,=R900DM;
+    UA1N[19],UA1O[19],UA1Z[19],UA4W[30],=R7C,=R7C/1,=R7C/3,=R7C/4;
 Kaliningrad:              15:  29:  EU:   55.00:   -20.50:    -2.0:  UA2:
-    R2,RA2,RB2,RC2,RD2,RE2,RF2,RG2,RH2,RI2,RJ2,RK2,RL2,RM2,RN2,RO2,RP2,RQ2,
-    RR2,RS2,RT2,RU2,RV2,RW2,RX2,RY2,RZ2,U2,UA2,UB2,UC2,UD2,UE2,UF2,UG2,UH2,
-    UI2;
+    R2F,R2K,RA2F,RA2K,RB2F,RB2K,RC2F,RC2K,RD2F,RD2K,RE2F,RE2K,RF2F,RF2K,RG2F,
+    RG2K,RH2F,RH2K,RI2F,RI2K,RJ2F,RJ2K,RK2F,RK2K,RL2F,RL2K,RM2F,RM2K,RN2F,
+    RN2K,RO2F,RO2K,RP2F,RP2K,RQ2F,RQ2K,RR2F,RR2K,RS2F,RS2K,RT2F,RT2K,RU2F,
+    RU2K,RV2F,RV2K,RW2F,RW2K,RX2F,RX2K,RY2F,RY2K,RZ2F,RZ2K,U2F,U2K,UA2F,UA2K,
+    UB2F,UB2K,UC2F,UC2K,UD2F,UD2K,UE2F,UE2K,UF2F,UF2K,UG2F,UG2K,UH2F,UH2K,
+    UI2F,UI2K,=UA2AB,=UA2AC,=UA2AJ,=UA2AN,=UA2AO,=UA2AR,=UA2AW,=UA2BA,=UA2BD,
+    =UA2BG,=UA2CK,=UA2CM,=UA2CN,=UA2CX,=UA2CY,=UA2CZ,=UA2DC,=UA2DF,=UA2DJ,
+    =UA2DK,=UA2DM,=UA2DU,=UA2DV,=UA2EC,=UA2WJ;
 Asiatic Russia:           17:  30:  AS:   55.00:   -83.00:    -7.0:  UA9:
-    R0,R7,R8,R8T(18)[32],R8V(18)[33],R9,R9I(18)[31],R9M(17),R9S(16),R9T(16),
-    R9W(16),RA0,RA7,RA8,RA8T(18)[32],RA8V(18)[33],RA9,RA9I(18)[31],RA9M(17),
-    RA9P(18)[31],RA9S(16),RA9T(16),RA9W(16),RB0,RB7,RB8,RB8T(18)[32],RB8V(18)[33],
-    RB9,RB9I(18)[31],RB9M(17),RB9P(18)[31],RB9S(16),RB9T(16),RB9W(16),RC0,RC7,RC8,
-    RC8T(18)[32],RC8V(18)[33],RC9,RC9I(18)[31],RC9M(17),RC9P(18)[31],RC9S(16),
-    RC9T(16),RC9W(16),RD0,RD7,RD8,RD8T(18)[32],RD8V(18)[33],RD9,RD9I(18)[31],
-    RD9M(17),RD9P(18)[31],RD9S(16),RD9T(16),RD9W(16),RE0,RE7,RE8,RE8T(18)[32],
-    RE8V(18)[33],RE9,RE9I(18)[31],RE9M(17),RE9P(18)[31],RE9S(16),RE9T(16),RE9W(16),
-    RF0,RF7,RF8,RF8T(18)[32],RF8V(18)[33],RF9,RF9I(18)[31],RF9M(17),
-    RF9P(18)[31],RF9S(16),RF9T(16),RF9W(16),RG0,RG7,RG8,RG8T(18)[32],RG8V(18)[33],
-    RG9,RG9I(18)[31],RG9M(17),RG9P(18)[31],RG9S(16),RG9T(16),RG9W(16),RH0,RH7,RH8,
-    RH8T(18)[32],RH8V(18)[33],RH9,RH9I(18)[31],RH9M(17),RH9P(18)[31],RH9S(16),
-    RH9T(16),RH9W(16),RI0,RI7,RI8,RI8T(18)[32],RI8V(18)[33],RI9,RI9I(18)[31],
-    RI9M(17),RI9P(18)[31],RI9S(16),RI9T(16),RI9W(16),RJ0,RJ7,RJ8,RJ8T(18)[32],
-    RJ8V(18)[33],RJ9,RJ9I(18)[31],RJ9M(17),RJ9P(18)[31],RJ9S(16),RJ9T(16),RJ9W(16),
-    RK0,RK7,RK8,RK8T(18)[32],RK8V(18)[33],RK9,RK9I(18)[31],RK9M(17),
-    RK9P(18)[31],RK9S(16),RK9T(16),RK9W(16),RL0,RL7,RL8,RL8T(18)[32],RL8V(18)[33],
-    RL9,RL9I(18)[31],RL9M(17),RL9P(18)[31],RL9S(16),RL9T(16),RL9W(16),RM0,RM7,RM8,
-    RM8T(18)[32],RM8V(18)[33],RM9,RM9I(18)[31],RM9M(17),RM9P(18)[31],RM9S(16),
-    RM9T(16),RM9W(16),RN0,RN7,RN8,RN8T(18)[32],RN8V(18)[33],RN9,RN9I(18)[31],
-    RN9M(17),RN9P(18)[31],RN9S(16),RN9T(16),RN9W(16),RO0,RO7,RO8,RO8T(18)[32],
-    RO8V(18)[33],RO9,RO9I(18)[31],RO9M(17),RO9P(18)[31],RO9S(16),RO9T(16),RO9W(16),
-    RP0,RP7,RP8,RP8T(18)[32],RP8V(18)[33],RP9,RP9I(18)[31],RP9M(17),
-    RP9P(18)[31],RP9S(16),RP9T(16),RP9W(16),RQ0,RQ7,RQ8,RQ8T(18)[32],RQ8V(18)[33],
-    RQ9,RQ9I(18)[31],RQ9M(17),RQ9P(18)[31],RQ9S(16),RQ9T(16),RQ9W(16),RR0,RR7,RR8,
-    RR8T(18)[32],RR8V(18)[33],RR9,RR9I(18)[31],RR9M(17),RR9P(18)[31],RR9S(16),
-    RR9T(16),RR9W(16),RS0,RS7,RS8,RS8T(18)[32],RS8V(18)[33],RS9,RS9I(18)[31],
-    RS9M(17),RS9P(18)[31],RS9S(16),RS9T(16),RS9W(16),RT0,RT7,RT8,RT8T(18)[32],
-    RT8V(18)[33],RT9,RT9I(18)[31],RT9M(17),RT9P(18)[31],RT9S(16),RT9T(16),RT9W(16),
-    RU0,RU7,RU8,RU8T(18)[32],RU8V(18)[33],RU9,RU9I(18)[31],RU9M(17),
-    RU9P(18)[31],RU9S(16),RU9T(16),RU9W(16),RV0,RV7,RV8,RV8T(18)[32],RV8V(18)[33],
-    RV9,RV9I(18)[31],RV9M(17),RV9P(18)[31],RV9S(16),RV9T(16),RV9W(16),RW0,RW7,RW8,
-    RW8T(18)[32],RW8V(18)[33],RW9,RW9I(18)[31],RW9M(17),RW9P(18)[31],RW9S(16),
-    RW9T(16),RW9W(16),RX0,RX7,RX8,RX8T(18)[32],RX8V(18)[33],RX9,RX9I(18)[31],
-    RX9M(17),RX9P(18)[31],RX9S(16),RX9T(16),RX9W(16),RY0,RY7,RY8,RY8T(18)[32],
-    RY8V(18)[33],RY9,RY9I(18)[31],RY9M(17),RY9P(18)[31],RY9S(16),RY9T(16),RY9W(16),
-    RZ0,RZ7,RZ8,RZ8T(18)[32],RZ8V(18)[33],RZ9,RZ9I(18)[31],RZ9M(17),
-    RZ9P(18)[31],RZ9S(16),RZ9T(16),RZ9W(16),U0,U7,U8,U8T(18)[32],U8V(18)[33],U9,
-    U9I(18)[31],U9M(17),U9P(18)[31],U9S(16),U9T(16),U9W(16),UA0,UA7,UA8,
-    UA8T(18)[32],UA8V(18)[33],UA9,UA9I(18)[31],UA9M(17),UA9P(18)[31],UA9S(16),
-    UA9T(16),UA9W(16),UB0,UB7,UB8,UB8T(18)[32],UB8V(18)[33],UB9,UB9I(18)[31],
-    UB9M(17),UB9P(18)[31],UB9S(16),UB9T(16),UB9W(16),UC0,UC7,UC8,UC8T(18)[32],
-    UC8V(18)[33],UC9,UC9I(18)[31],UC9M(17),UC9P(18)[31],UC9S(16),UC9T(16),UC9W(16),
-    UD0,UD7,UD8,UD8T(18)[32],UD8V(18)[33],UD9,UD9I(18)[31],UD9M(17),
-    UD9P(18)[31],UD9S(16),UD9T(16),UD9W(16),UE0,UE7,UE8,UE8T(18)[32],UE8V(18)[33],
-    UE9,UE9I(18)[31],UE9M(17),UE9P(18)[31],UE9S(16),UE9T(16),UE9W(16),UF0,UF7,UF8,
-    UF8T(18)[32],UF8V(18)[33],UF9,UF9I(18)[31],UF9M(17),UF9P(18)[31],UF9S(16),
-    UF9T(16),UF9W(16),UG0,UG7,UG8,UG8T(18)[32],UG8V(18)[33],UG9,UG9I(18)[31],
-    UG9M(17),UG9P(18)[31],UG9S(16),UG9T(16),UG9W(16),UH0,UH7,UH8,UH8T(18)[32],
-    UH8V(18)[33],UH9,UH9I(18)[31],UH9M(17),UH9P(18)[31],UH9S(16),UH9T(16),UH9W(16),
-    UI0,UI7,UI8,UI8T(18)[32],UI8V(18)[33],UI9,UI9I(18)[31],UI9M(17),
-    UI9P(18)[31],UI9S(16),UI9T(16),UI9W(16),=R100W,=R35NP,=R3F/9,=R9HQ(17)[30],
-    =RW4AA/9[21];
+    R0,R8,R8H(18)[31],R8I(18)[31],R8O(18)[31],R8P(18)[31],R8S(16),R8T(16),
+    R8U(18)[31],R8V(18)[31],R8Y(18)[31],R8Z(18)[31],R9,R9I(18)[31],R9M(17),R9S(16),
+    R9T(16),R9U(18)[31],R9W(16),RA0,RA8,RA8H(18)[31],RA8I(18)[31],RA8O(18)[31],
+    RA8P(18)[31],RA8S(16),RA8T(16),RA8U(18)[31],RA8V(18)[31],RA8Y(18)[31],
+    RA8Z(18)[31],RA9,RA9I(18)[31],RA9M(17),RA9P(18)[31],RA9S(16),RA9T(16),
+    RA9U(18)[31],RA9W(16),RB0,RB8,RB8H(18)[31],RB8I(18)[31],RB8O(18)[31],
+    RB8P(18)[31],RB8S(16),RB8T(16),RB8U(18)[31],RB8V(18)[31],RB8Y(18)[31],
+    RB8Z(18)[31],RB9,RB9I(18)[31],RB9M(17),RB9P(18)[31],RB9S(16),RB9T(16),
+    RB9U(18)[31],RB9W(16),RC0,RC8,RC8H(18)[31],RC8I(18)[31],RC8O(18)[31],
+    RC8P(18)[31],RC8S(16),RC8T(16),RC8U(18)[31],RC8V(18)[31],RC8Y(18)[31],
+    RC8Z(18)[31],RC9,RC9I(18)[31],RC9M(17),RC9P(18)[31],RC9S(16),RC9T(16),
+    RC9U(18)[31],RC9W(16),RD0,RD8,RD8H(18)[31],RD8I(18)[31],RD8O(18)[31],
+    RD8P(18)[31],RD8S(16),RD8T(16),RD8U(18)[31],RD8V(18)[31],RD8Y(18)[31],
+    RD8Z(18)[31],RD9,RD9I(18)[31],RD9M(17),RD9P(18)[31],RD9S(16),RD9T(16),
+    RD9U(18)[31],RD9W(16),RE0,RE8,RE8H(18)[31],RE8I(18)[31],RE8O(18)[31],
+    RE8P(18)[31],RE8S(16),RE8T(16),RE8U(18)[31],RE8V(18)[31],RE8Y(18)[31],
+    RE8Z(18)[31],RE9,RE9I(18)[31],RE9M(17),RE9P(18)[31],RE9S(16),RE9T(16),
+    RE9U(18)[31],RE9W(16),RF0,RF8,RF8H(18)[31],RF8I(18)[31],RF8O(18)[31],
+    RF8P(18)[31],RF8S(16),RF8T(16),RF8U(18)[31],RF8V(18)[31],RF8Y(18)[31],
+    RF8Z(18)[31],RF9,RF9I(18)[31],RF9M(17),RF9P(18)[31],RF9S(16),RF9T(16),
+    RF9U(18)[31],RF9W(16),RG0,RG8,RG8H(18)[31],RG8I(18)[31],RG8O(18)[31],
+    RG8P(18)[31],RG8S(16),RG8T(16),RG8U(18)[31],RG8V(18)[31],RG8Y(18)[31],
+    RG8Z(18)[31],RG9,RG9I(18)[31],RG9M(17),RG9P(18)[31],RG9S(16),RG9T(16),
+    RG9U(18)[31],RG9W(16),RH0,RH8,RH8H(18)[31],RH8I(18)[31],RH8O(18)[31],
+    RH8P(18)[31],RH8S(16),RH8T(16),RH8U(18)[31],RH8V(18)[31],RH8Y(18)[31],
+    RH8Z(18)[31],RH9,RH9I(18)[31],RH9M(17),RH9P(18)[31],RH9S(16),RH9T(16),
+    RH9U(18)[31],RH9W(16),RI0,RI8,RI8H(18)[31],RI8I(18)[31],RI8O(18)[31],
+    RI8P(18)[31],RI8S(16),RI8T(16),RI8U(18)[31],RI8V(18)[31],RI8Y(18)[31],
+    RI8Z(18)[31],RI9,RI9I(18)[31],RI9M(17),RI9P(18)[31],RI9S(16),RI9T(16),
+    RI9U(18)[31],RI9W(16),RJ0,RJ8,RJ8H(18)[31],RJ8I(18)[31],RJ8O(18)[31],
+    RJ8P(18)[31],RJ8S(16),RJ8T(16),RJ8U(18)[31],RJ8V(18)[31],RJ8Y(18)[31],
+    RJ8Z(18)[31],RJ9,RJ9I(18)[31],RJ9M(17),RJ9P(18)[31],RJ9S(16),RJ9T(16),
+    RJ9U(18)[31],RJ9W(16),RK0,RK8,RK8H(18)[31],RK8I(18)[31],RK8O(18)[31],
+    RK8P(18)[31],RK8S(16),RK8T(16),RK8U(18)[31],RK8V(18)[31],RK8Y(18)[31],
+    RK8Z(18)[31],RK9,RK9I(18)[31],RK9M(17),RK9P(18)[31],RK9S(16),RK9T(16),
+    RK9U(18)[31],RK9W(16),RL0,RL8,RL8H(18)[31],RL8I(18)[31],RL8O(18)[31],
+    RL8P(18)[31],RL8S(16),RL8T(16),RL8U(18)[31],RL8V(18)[31],RL8Y(18)[31],
+    RL8Z(18)[31],RL9,RL9I(18)[31],RL9M(17),RL9P(18)[31],RL9S(16),RL9T(16),
+    RL9U(18)[31],RL9W(16),RM0,RM8,RM8H(18)[31],RM8I(18)[31],RM8O(18)[31],
+    RM8P(18)[31],RM8S(16),RM8T(16),RM8U(18)[31],RM8V(18)[31],RM8Y(18)[31],
+    RM8Z(18)[31],RM9,RM9I(18)[31],RM9M(17),RM9P(18)[31],RM9S(16),RM9T(16),
+    RM9U(18)[31],RM9W(16),RN0,RN8,RN8H(18)[31],RN8I(18)[31],RN8O(18)[31],
+    RN8P(18)[31],RN8S(16),RN8T(16),RN8U(18)[31],RN8V(18)[31],RN8Y(18)[31],
+    RN8Z(18)[31],RN9,RN9I(18)[31],RN9M(17),RN9P(18)[31],RN9S(16),RN9T(16),
+    RN9U(18)[31],RN9W(16),RO0,RO8,RO8H(18)[31],RO8I(18)[31],RO8O(18)[31],
+    RO8P(18)[31],RO8S(16),RO8T(16),RO8U(18)[31],RO8V(18)[31],RO8Y(18)[31],
+    RO8Z(18)[31],RO9,RO9I(18)[31],RO9M(17),RO9P(18)[31],RO9S(16),RO9T(16),
+    RO9U(18)[31],RO9W(16),RP0,RP8,RP8H(18)[31],RP8I(18)[31],RP8O(18)[31],
+    RP8P(18)[31],RP8S(16),RP8T(16),RP8U(18)[31],RP8V(18)[31],RP8Y(18)[31],
+    RP8Z(18)[31],RP9,RP9I(18)[31],RP9M(17),RP9P(18)[31],RP9S(16),RP9T(16),
+    RP9U(18)[31],RP9W(16),RQ0,RQ8,RQ8H(18)[31],RQ8I(18)[31],RQ8O(18)[31],
+    RQ8P(18)[31],RQ8S(16),RQ8T(16),RQ8U(18)[31],RQ8V(18)[31],RQ8Y(18)[31],
+    RQ8Z(18)[31],RQ9,RQ9I(18)[31],RQ9M(17),RQ9P(18)[31],RQ9S(16),RQ9T(16),
+    RQ9U(18)[31],RQ9W(16),RR0,RR8,RR8H(18)[31],RR8I(18)[31],RR8O(18)[31],
+    RR8P(18)[31],RR8S(16),RR8T(16),RR8U(18)[31],RR8V(18)[31],RR8Y(18)[31],
+    RR8Z(18)[31],RR9,RR9I(18)[31],RR9M(17),RR9P(18)[31],RR9S(16),RR9T(16),
+    RR9U(18)[31],RR9W(16),RS0,RS8,RS8H(18)[31],RS8I(18)[31],RS8O(18)[31],
+    RS8P(18)[31],RS8S(16),RS8T(16),RS8U(18)[31],RS8V(18)[31],RS8Y(18)[31],
+    RS8Z(18)[31],RS9,RS9I(18)[31],RS9M(17),RS9P(18)[31],RS9S(16),RS9T(16),
+    RS9U(18)[31],RS9W(16),RT0,RT8,RT8H(18)[31],RT8I(18)[31],RT8O(18)[31],
+    RT8P(18)[31],RT8S(16),RT8T(16),RT8U(18)[31],RT8V(18)[31],RT8Y(18)[31],
+    RT8Z(18)[31],RT9,RT9I(18)[31],RT9M(17),RT9P(18)[31],RT9S(16),RT9T(16),
+    RT9U(18)[31],RT9W(16),RU0,RU8,RU8H(18)[31],RU8I(18)[31],RU8O(18)[31],
+    RU8P(18)[31],RU8S(16),RU8T(16),RU8U(18)[31],RU8V(18)[31],RU8Y(18)[31],
+    RU8Z(18)[31],RU9,RU9I(18)[31],RU9M(17),RU9P(18)[31],RU9S(16),RU9T(16),
+    RU9U(18)[31],RU9W(16),RV0,RV8,RV8H(18)[31],RV8I(18)[31],RV8O(18)[31],
+    RV8P(18)[31],RV8S(16),RV8T(16),RV8U(18)[31],RV8V(18)[31],RV8Y(18)[31],
+    RV8Z(18)[31],RV9,RV9I(18)[31],RV9M(17),RV9P(18)[31],RV9S(16),RV9T(16),
+    RV9U(18)[31],RV9W(16),RW0,RW8,RW8H(18)[31],RW8I(18)[31],RW8O(18)[31],
+    RW8P(18)[31],RW8S(16),RW8T(16),RW8U(18)[31],RW8V(18)[31],RW8Y(18)[31],
+    RW8Z(18)[31],RW9,RW9I(18)[31],RW9M(17),RW9P(18)[31],RW9S(16),RW9T(16),
+    RW9U(18)[31],RW9W(16),RX0,RX8,RX8H(18)[31],RX8I(18)[31],RX8O(18)[31],
+    RX8P(18)[31],RX8S(16),RX8T(16),RX8U(18)[31],RX8V(18)[31],RX8Y(18)[31],
+    RX8Z(18)[31],RX9,RX9I(18)[31],RX9M(17),RX9P(18)[31],RX9S(16),RX9T(16),
+    RX9U(18)[31],RX9W(16),RY0,RY8,RY8H(18)[31],RY8I(18)[31],RY8O(18)[31],
+    RY8P(18)[31],RY8S(16),RY8T(16),RY8U(18)[31],RY8V(18)[31],RY8Y(18)[31],
+    RY8Z(18)[31],RY9,RY9I(18)[31],RY9M(17),RY9P(18)[31],RY9S(16),RY9T(16),
+    RY9U(18)[31],RY9W(16),RZ0,RZ8,RZ8H(18)[31],RZ8I(18)[31],RZ8O(18)[31],
+    RZ8P(18)[31],RZ8S(16),RZ8T(16),RZ8U(18)[31],RZ8V(18)[31],RZ8Y(18)[31],
+    RZ8Z(18)[31],RZ9,RZ9I(18)[31],RZ9M(17),RZ9P(18)[31],RZ9S(16),RZ9T(16),
+    RZ9U(18)[31],RZ9W(16),U0,U8,U8H(18)[31],U8I(18)[31],U8O(18)[31],U8P(18)[31],
+    U8S(16),U8T(16),U8U(18)[31],U8V(18)[31],U8Y(18)[31],U8Z(18)[31],U9,U9I(18)[31],
+    U9M(17),U9P(18)[31],U9S(16),U9T(16),U9U(18)[31],U9W(16),UA0,UA8,UA8H(18)[31],
+    UA8I(18)[31],UA8O(18)[31],UA8P(18)[31],UA8S(16),UA8T(16),UA8U(18)[31],
+    UA8V(18)[31],UA8Y(18)[31],UA8Z(18)[31],UA9,UA9I(18)[31],UA9M(17),UA9P(18)[31],
+    UA9S(16),UA9T(16),UA9U(18)[31],UA9W(16),UB0,UB8,UB8H(18)[31],UB8I(18)[31],
+    UB8O(18)[31],UB8P(18)[31],UB8S(16),UB8T(16),UB8U(18)[31],UB8V(18)[31],
+    UB8Y(18)[31],UB8Z(18)[31],UB9,UB9I(18)[31],UB9M(17),UB9P(18)[31],UB9S(16),
+    UB9T(16),UB9U(18)[31],UB9W(16),UC0,UC8,UC8H(18)[31],UC8I(18)[31],UC8O(18)[31],
+    UC8P(18)[31],UC8S(16),UC8T(16),UC8U(18)[31],UC8V(18)[31],UC8Y(18)[31],
+    UC8Z(18)[31],UC9,UC9I(18)[31],UC9M(17),UC9P(18)[31],UC9S(16),UC9T(16),
+    UC9U(18)[31],UC9W(16),UD0,UD8,UD8H(18)[31],UD8I(18)[31],UD8O(18)[31],
+    UD8P(18)[31],UD8S(16),UD8T(16),UD8U(18)[31],UD8V(18)[31],UD8Y(18)[31],
+    UD8Z(18)[31],UD9,UD9I(18)[31],UD9M(17),UD9P(18)[31],UD9S(16),UD9T(16),
+    UD9U(18)[31],UD9W(16),UE0,UE8,UE8H(18)[31],UE8I(18)[31],UE8O(18)[31],
+    UE8P(18)[31],UE8S(16),UE8T(16),UE8U(18)[31],UE8V(18)[31],UE8Y(18)[31],
+    UE8Z(18)[31],UE9,UE9I(18)[31],UE9M(17),UE9P(18)[31],UE9S(16),UE9T(16),
+    UE9U(18)[31],UE9W(16),UF0,UF8,UF8H(18)[31],UF8I(18)[31],UF8O(18)[31],
+    UF8P(18)[31],UF8S(16),UF8T(16),UF8U(18)[31],UF8V(18)[31],UF8Y(18)[31],
+    UF8Z(18)[31],UF9,UF9I(18)[31],UF9M(17),UF9P(18)[31],UF9S(16),UF9T(16),
+    UF9U(18)[31],UF9W(16),UG0,UG8,UG8H(18)[31],UG8I(18)[31],UG8O(18)[31],
+    UG8P(18)[31],UG8S(16),UG8T(16),UG8U(18)[31],UG8V(18)[31],UG8Y(18)[31],
+    UG8Z(18)[31],UG9,UG9I(18)[31],UG9M(17),UG9P(18)[31],UG9S(16),UG9T(16),
+    UG9U(18)[31],UG9W(16),UH0,UH8,UH8H(18)[31],UH8I(18)[31],UH8O(18)[31],
+    UH8P(18)[31],UH8S(16),UH8T(16),UH8U(18)[31],UH8V(18)[31],UH8Y(18)[31],
+    UH8Z(18)[31],UH9,UH9I(18)[31],UH9M(17),UH9P(18)[31],UH9S(16),UH9T(16),
+    UH9U(18)[31],UH9W(16),UI0,UI8,UI8H(18)[31],UI8I(18)[31],UI8O(18)[31],
+    UI8P(18)[31],UI8S(16),UI8T(16),UI8U(18)[31],UI8V(18)[31],UI8Y(18)[31],
+    UI8Z(18)[31],UI9,UI9I(18)[31],UI9M(17),UI9P(18)[31],UI9S(16),UI9T(16),
+    UI9U(18)[31],UI9W(16),=R35NP,=R3F/9,=R9HQ(17)[30],=RP65FPP,=RP65MOH,=RP65R,
+    =RP65TT,=RP65UMF(18)[31],=RW4AA/9[21],=UE60QA(19)[23];
 Uzbekistan:               17:  30:  AS:   41.20:   -69.30:    -5.0:  UK:
     UJ,UK,UL,UM;
 Kazakhstan:               17:  30:  AS:   43.30:   -76.90:    -5.0:  UN:
@@ -843,7 +915,7 @@ Canada:                   05:  09:  NA:   45.00:    80.00:     4.0:  VE:
     =VE2PR(2),=VE2QRZ(2),=VE2RB(2),=VE2TVU(2),=VE2UA(2),=VE2VH(2),=VE2WDX(2),
     =VE2WT(2),=VE2XAA/2(2),=VE2XY(2),=VE2YM(2),=VE2Z(2),=VE2ZC(5),=VE2ZM(5),
     =VE2ZV(5),=VE3EY/2(2),=VE3NE/2(2),=VE3RHJ/2(2),=VE8AJ(2),=VE8PW(2),
-    =VE8RCS(2),=VER20100207,=VY0AA(4)[3],=VY0PW(4)[3],=VY2MGY/3(4)[4],
+    =VE8RCS(2),=VER20100512,=VY0AA(4)[3],=VY0PW(4)[3],=VY2MGY/3(4)[4],
     =XM3NE/2(2);
 Australia:                30:  59:  OC:  -22.00:  -135.00:   -10.0:  VK:
     AX,VH,VI,VJ,VK,VL,VM,VN,VZ;
@@ -858,11 +930,11 @@ Lord Howe Island:         30:  60:  OC:  -31.60:  -159.10:   -10.5:  VK9L:
     AX9L,VH9L,VI9L,VJ9L,VK9AL,VK9CL,VK9FL,VK9GL,VK9KL,VK9L,VL9L,VM9L,VN9L,
     VZ9L;
 Mellish Reef:             30:  56:  OC:  -17.60:  -155.80:   -10.0:  VK9M:
-    AX9M,VH9M,VI9M,VJ9M,VK9FM,VK9KM,VK9M,VL9M,VM9M,VN9M,VZ9M,=VK9GMW;
+    AX9M,VH9M,VI9M,VJ9M,VK9FM,VK9KM,VK9M,VL9M,VM9M,VN9M,VZ9M;
 Norfolk Island:           32:  60:  OC:  -29.00:  -168.00:   -11.5:  VK9N:
     AX9,VH9,VI9,VJ9,VK9,VK9CN,VL9,VM9,VN9,VZ9;
 Willis Island:            30:  55:  OC:  -16.20:  -150.00:   -10.0:  VK9W:
-    AX9W,VH9W,VI9W,VJ9W,VK9FW,VK9KW,VK9W,VL9W,VM9W,VN9W,VZ9W,=VK9DWX;
+    AX9W,VH9W,VI9W,VJ9W,VK9FW,VK9KW,VK9W,VL9W,VM9W,VN9W,VZ9W;
 Christmas Island:         29:  54:  OC:  -10.50:  -105.70:    -7.0:  VK9X:
     AX9X,VH9X,VI9X,VJ9X,VK9FX,VK9KX,VK9X,VL9X,VM9X,VN9X,VZ9X;
 Anguilla:                 08:  11:  NA:   18.30:    63.00:     4.0:  VP2E:
index e2c788aa55e82af4ac88a79936742d3dfdb73a57..197f8957ad5b9c504683dde3f7aae35bc8232c8b 100644 (file)
   '=3D20CR' => '299',
   '=3D2AG/P' => '302',
   '=3Y0X' => '298',
-  '=4U1AIDS' => '69',
+  '=4U10NPT' => '427',
   '=4U1ITU' => '262',
   '=4U1UN' => '263',
   '=4U1VIC' => '427',
   '=4U1WB' => '209',
-  '=4U1WED' => '427',
+  '=4U1WED' => '122',
   '=4U1WRC' => '262',
-  '=4U30VIC' => '427',
-  '=4U64UN' => '263',
+  '=4U30VIC' => '122',
   '=8J1RF' => '97,394,395,397,398,399,400,401,402,403,404,405,406,407,409,411',
   '=8J1RL' => '97,394,395,397,398,399,400,401,402,403,404,405,406,407,409,411',
   '=9H1VC/KHS' => '286',
   '=AG3V' => '209',
   '=AG4W' => '209',
   '=AH0AH' => '421',
+  '=AH2AR' => '209',
   '=AH6FC' => '209',
   '=AI1P' => '209',
   '=AI4DB' => '209',
   '=GB0DDF' => '62',
   '=GB0DGL' => '64,379',
   '=GB0FFS' => '64,379',
+  '=GB0FHI' => '66',
   '=GB0FLA' => '64,379',
+  '=GB0FSG' => '64,379',
   '=GB0GCR' => '66',
   '=GB0GDS' => '64,379',
   '=GB0GEI' => '64,379',
+  '=GB0GGR' => '64,379',
   '=GB0GHD' => '64,379',
   '=GB0GIW' => '66',
   '=GB0GKR' => '64,379',
   '=GB0REL' => '62',
   '=GB0RPO' => '66',
   '=GB0RSC' => '66',
+  '=GB0SBG' => '62',
   '=GB0SDD' => '66',
   '=GB0SH' => '66',
   '=GB0SHC' => '62',
   '=GB1HF' => '62',
   '=GB1LSG' => '66',
   '=GB1OL' => '64,379',
+  '=GB1RT' => '61',
   '=GB1SL' => '66',
   '=GB1SPD' => '62',
   '=GB1SRI' => '62',
   '=GB2AST' => '64,379',
   '=GB2AYR' => '64,379',
   '=GB2BYL' => '63',
+  '=GB2CAM' => '66',
   '=GB2CHG' => '64,379',
   '=GB2CI' => '66',
   '=GB2CPC' => '66',
   '=GB2RFS' => '66',
   '=GB2RRL' => '64,379',
   '=GB2RSC' => '66',
+  '=GB2RT' => '61',
   '=GB2RTB' => '66',
   '=GB2SAC' => '66',
   '=GB2SDD' => '66',
   '=GB2SIP' => '66',
   '=GB2SKG' => '64,379',
   '=GB2SLH' => '64,379',
-  '=GB2SPD' => '64,379',
+  '=GB2SPD' => '62',
   '=GB2SSF' => '64,379',
   '=GB2STB' => '64,379',
   '=GB2STI' => '62',
   '=GB4CSC' => '62',
   '=GB4DAS' => '64,379',
   '=GB4DPS' => '66',
+  '=GB4DTD' => '64,379',
   '=GB4ES' => '62',
   '=GB4GM' => '64,379',
   '=GB4HMD' => '66',
   '=GB8CY' => '64,379',
   '=GB8FF' => '64,379',
   '=GB8LMI' => '63',
+  '=GB8MD' => '66',
   '=GB8OO' => '64,379',
   '=GB8OQE' => '66',
   '=GB8RU' => '64,379',
+  '=GB8RUM' => '64,379',
   '=GB90SOM' => '62',
   '=GB93AM' => '64,379',
+  '=GG100GCC' => '64,379',
+  '=GG100GGR' => '64,379',
   '=GM0AVR' => '379',
   '=GM0CXQ' => '379',
   '=GM0CYJ' => '379',
   '=K2RD' => '209',
   '=K2RP' => '209',
   '=K2UR' => '209',
-  '=K2V' => '112',
   '=K2VV' => '209',
   '=K3CQ' => '209',
   '=K3FH' => '209',
   '=LR1A/D' => '117,425,426',
   '=LU/DH4PB/R' => '117,425,426',
   '=LU/DH4PB/S' => '117,425,426',
+  '=LU0ARC/H' => '117,425,426',
   '=LU1AEE/D' => '117,425,426',
   '=LU1AF/D' => '117,425,426',
   '=LU1ARG/D' => '117,425,426',
   '=LU1ASP/D' => '117,425,426',
   '=LU1BCE/D' => '117,425,426',
   '=LU1CDP/D' => '117,425,426',
+  '=LU1CSP/D' => '117,425,426',
   '=LU1DDK/D' => '117,425,426',
   '=LU1DHO/D' => '117,425,426',
   '=LU1DK/D' => '117,425,426',
+  '=LU1DKD/D' => '117,425,426',
   '=LU1DMA/E' => '117,425,426',
   '=LU1DZ/D' => '117,425,426',
   '=LU1DZ/E' => '117,425,426',
   '=LU1EEZ/D' => '117,425,426',
   '=LU1EJ/W' => '117,425,426',
   '=LU1EQ/D' => '117,425,426',
+  '=LU1EUU/D' => '117,425,426',
   '=LU1EUU/W' => '117,425,426',
   '=LU1EYW/D' => '117,425,426',
   '=LU1HH/H' => '117,425,426',
+  '=LU1HLH/D' => '117,425,426',
   '=LU1LAA/L' => '117,425,426',
   '=LU1LT/L' => '117,425,426',
   '=LU1OFN/I' => '117,425,426',
   '=LU1QA/Q' => '117,425,426',
   '=LU1UM/U' => '117,425,426',
+  '=LU1VEG/V' => '117,425,426',
+  '=LU1VFP/V' => '117,425,426',
   '=LU1VOF/D' => '117,425,426',
   '=LU1VOF/V' => '117,425,426',
   '=LU1VZ/V' => '117,425,426',
   '=LU1WCR/W' => '117,425,426',
   '=LU1WF/W' => '117,425,426',
   '=LU1WJF/W' => '117,425,426',
+  '=LU1WJY/W' => '117,425,426',
   '=LU1WP/W' => '117,425,426',
   '=LU1XAW/X' => '117,425,426',
   '=LU1XWC/E' => '117,425,426',
   '=LU1ZA' => '336',
   '=LU1ZC' => '338',
   '=LU2AGQ/D' => '117,425,426',
+  '=LU2AHB/D' => '117,425,426',
   '=LU2BPM/D' => '117,425,426',
+  '=LU2CM/D' => '117,425,426',
   '=LU2CRM/XA' => '117,425,426',
   '=LU2DSV/N' => '117,425,426',
   '=LU2DT/D' => '117,425,426',
   '=LU2XBI/XA' => '117,425,426',
   '=LU2XX/X' => '117,425,426',
   '=LU2XX/XP' => '117,425,426',
+  '=LU3ADC/D' => '117,425,426',
   '=LU3AOI/D' => '117,425,426',
   '=LU3CQ/D' => '117,425,426',
+  '=LU3DAT/D' => '117,425,426',
   '=LU3DC/D' => '117,425,426',
+  '=LU3DC/V' => '117,425,426',
   '=LU3DJI/D' => '117,425,426',
   '=LU3DJI/W' => '117,425,426',
   '=LU3DOC/D' => '117,425,426',
   '=LU3DXG/D' => '117,425,426',
   '=LU3DXG/W' => '117,425,426',
   '=LU3DZO/D' => '117,425,426',
+  '=LU3EJD/D' => '117,425,426',
   '=LU3EOU/D' => '117,425,426',
   '=LU3ES/D' => '117,425,426',
   '=LU3ES/V' => '117,425,426',
   '=LU4DA/D' => '117,425,426',
   '=LU4DBP/D' => '117,425,426',
   '=LU4DBT/D' => '117,425,426',
+  '=LU4DBT/W' => '117,425,426',
   '=LU4DCY/D' => '117,425,426',
   '=LU4DDL/V' => '117,425,426',
+  '=LU4DLL/D' => '117,425,426',
   '=LU4DQ/D' => '117,425,426',
   '=LU4DQQ/D' => '117,425,426',
+  '=LU4DRC/D' => '117,425,426',
   '=LU4DRC/Y' => '117,425,426',
   '=LU4DRH/D' => '117,425,426',
   '=LU4DRH/E' => '117,425,426',
   '=LU5BE/D' => '117,425,426',
   '=LU5BE/XA' => '117,425,426',
   '=LU5BOJ/O' => '117,425,426',
+  '=LU5CRE/D' => '117,425,426',
+  '=LU5DA/D' => '117,425,426',
   '=LU5DEM/D' => '117,425,426',
   '=LU5DEM/V' => '117,425,426',
   '=LU5DEM/W' => '117,425,426',
+  '=LU5DFR/D' => '117,425,426',
   '=LU5DIT/D' => '117,425,426',
   '=LU5DIT/V' => '117,425,426',
   '=LU5DIT/W' => '117,425,426',
+  '=LU5DKE/D' => '117,425,426',
   '=LU5DLH/D' => '117,425,426',
   '=LU5DRV/D' => '117,425,426',
   '=LU5DRV/V' => '117,425,426',
   '=LU5DT/D' => '117,425,426',
   '=LU5DV/D' => '117,425,426',
   '=LU5DWS/D' => '117,425,426',
+  '=LU5DZ/H' => '117,425,426',
   '=LU5EAO/D' => '117,425,426',
   '=LU5EFX/Y' => '117,425,426',
   '=LU5EHC/D' => '117,425,426',
   '=LU5EJL/D' => '117,425,426',
   '=LU5EWO/D' => '117,425,426',
+  '=LU5FHD/F' => '117,425,426',
   '=LU5FZ/D' => '117,425,426',
+  '=LU5HR/H' => '117,425,426',
   '=LU5HTA/H' => '117,425,426',
+  '=LU5JU/J' => '117,425,426',
   '=LU5LBV/L' => '117,425,426',
   '=LU5VAS/D' => '117,425,426',
   '=LU5VAT/D' => '117,425,426',
   '=LU5XC/X' => '117,425,426',
+  '=LU5XP/X' => '117,425,426',
+  '=LU6ADS/D' => '117,425,426',
   '=LU6AER/D' => '117,425,426',
   '=LU6CN/D' => '117,425,426',
   '=LU6DBL/D' => '117,425,426',
+  '=LU6DBL/V' => '117,425,426',
   '=LU6DBL/W' => '117,425,426',
   '=LU6DDC/D' => '117,425,426',
   '=LU6DG/D' => '117,425,426',
   '=LU6DRR/D' => '117,425,426',
   '=LU6DSA/D' => '117,425,426',
   '=LU6DTB/D' => '117,425,426',
+  '=LU6EC/D' => '117,425,426',
   '=LU6EC/W' => '117,425,426',
   '=LU6EE/D' => '117,425,426',
   '=LU6EJJ/D' => '117,425,426',
   '=LU6EU/D' => '117,425,426',
   '=LU6EVD/D' => '117,425,426',
   '=LU6EYK/X' => '117,425,426',
+  '=LU6FN/D' => '117,425,426',
   '=LU6HAS/H' => '117,425,426',
   '=LU6JJ/D' => '117,425,426',
   '=LU6UAL/D' => '117,425,426',
   '=LU6UO/R' => '117,425,426',
   '=LU6UO/S' => '117,425,426',
   '=LU6UO/X' => '117,425,426',
+  '=LU6WAZ/W' => '117,425,426',
   '=LU6WG/W' => '117,425,426',
   '=LU6XAH/X' => '117,425,426',
   '=LU7AC/D' => '117,425,426',
   '=LU7ART/D' => '117,425,426',
   '=LU7BTO/D' => '117,425,426',
   '=LU7BTO/E' => '117,425,426',
+  '=LU7CP/D' => '117,425,426',
   '=LU7DBL/D' => '117,425,426',
   '=LU7DCE/D' => '117,425,426',
   '=LU7DID/V' => '117,425,426',
   '=LU7DJJ/W' => '117,425,426',
   '=LU7DP/D' => '117,425,426',
   '=LU7DR/D' => '117,425,426',
+  '=LU7DSU/D' => '117,425,426',
   '=LU7DSY/D' => '117,425,426',
   '=LU7DSY/V' => '117,425,426',
   '=LU7DSY/W' => '117,425,426',
   '=LU7DZ/H' => '117,425,426',
   '=LU7DZL/D' => '117,425,426',
   '=LU7DZL/E' => '117,425,426',
+  '=LU7DZV/D' => '117,425,426',
+  '=LU7EC/D' => '117,425,426',
   '=LU7EGH/V' => '117,425,426',
   '=LU7EGY/D' => '117,425,426',
   '=LU7EHL/D' => '117,425,426',
   '=LU7EPC/W' => '117,425,426',
   '=LU7FJ/F' => '117,425,426',
   '=LU7HBL/D' => '117,425,426',
+  '=LU7HSG/H' => '117,425,426',
   '=LU7HW/D' => '117,425,426',
   '=LU7VCH/D' => '117,425,426',
   '=LU7WFM/W' => '117,425,426',
   '=LU7WW/W' => '117,425,426',
+  '=LU7YZ/V' => '117,425,426',
   '=LU8ADX/D' => '117,425,426',
   '=LU8AE/D' => '117,425,426',
   '=LU8ARI/D' => '117,425,426',
   '=LU8DCH/Q' => '117,425,426',
   '=LU8DCK/D' => '117,425,426',
   '=LU8DIP/D' => '117,425,426',
+  '=LU8DMD/D' => '117,425,426',
   '=LU8DR/D' => '117,425,426',
   '=LU8DRA/D' => '117,425,426',
   '=LU8DRA/W' => '117,425,426',
   '=LU8ECF/E' => '117,425,426',
   '=LU8EEM/D' => '117,425,426',
   '=LU8EFF/D' => '117,425,426',
+  '=LU8EGC/D' => '117,425,426',
   '=LU8EGS/D' => '117,425,426',
   '=LU8EHQ/D' => '117,425,426',
   '=LU8EHQ/E' => '117,425,426',
   '=LU8FC/F' => '117,425,426',
   '=LU8FOZ/V' => '117,425,426',
   '=LU8HAR/H' => '117,425,426',
+  '=LU8SAN/F' => '117,425,426',
   '=LU8VCC/D' => '117,425,426',
+  '=LU8VER/V' => '117,425,426',
   '=LU8WFT/Q' => '117,425,426',
   '=LU8XC/X' => '117,425,426',
   '=LU8XW/X' => '117,425,426',
   '=LU9DA/D' => '117,425,426',
   '=LU9DBK/X' => '117,425,426',
   '=LU9DE/D' => '117,425,426',
+  '=LU9DEQ/D' => '117,425,426',
   '=LU9DF/D' => '117,425,426',
   '=LU9DGE/D' => '117,425,426',
   '=LU9DKX/X' => '117,425,426',
   '=LU9DO/D' => '117,425,426',
   '=LU9DPD/D' => '117,425,426',
   '=LU9DPD/XA' => '117,425,426',
+  '=LU9DPZ/E' => '117,425,426',
+  '=LU9DVO/D' => '117,425,426',
   '=LU9EI/D' => '117,425,426',
   '=LU9EI/F' => '117,425,426',
   '=LU9EJS/E' => '117,425,426',
+  '=LU9ENH/D' => '117,425,426',
   '=LU9ESD/D' => '117,425,426',
   '=LU9ESD/F' => '117,425,426',
   '=LU9ESD/V' => '117,425,426',
   '=LU9ESD/W' => '117,425,426',
   '=LU9ESD/Y' => '117,425,426',
   '=LU9EV/D' => '117,425,426',
+  '=LU9EV/E' => '117,425,426',
   '=LU9EV/LH' => '117,425,426',
   '=LU9EY/D' => '117,425,426',
+  '=LU9EY/V' => '117,425,426',
   '=LU9HVR/D' => '117,425,426',
   '=LU9JMG/J' => '117,425,426',
   '=LU9LEW/L' => '117,425,426',
+  '=LU9WDK/H' => '117,425,426',
+  '=LV7E/D' => '117,425,426',
   '=LW1DAL/D' => '117,425,426',
+  '=LW1DDX/D' => '117,425,426',
   '=LW1DE/D' => '117,425,426',
+  '=LW1DEW/D' => '117,425,426',
   '=LW1DXH/D' => '117,425,426',
   '=LW1EXU/D' => '117,425,426',
   '=LW1EXU/Y' => '117,425,426',
   '=LW1HCM/H' => '117,425,426',
   '=LW1HDI/H' => '117,425,426',
+  '=LW2DVM/V' => '117,425,426',
   '=LW2DX/E' => '117,425,426',
   '=LW2DX/P' => '117,425,426',
   '=LW2DX/Q' => '117,425,426',
   '=LW4EM/E' => '117,425,426',
   '=LW4EM/LH' => '117,425,426',
   '=LW4ESY/D' => '117,425,426',
+  '=LW4ETG/D' => '117,425,426',
+  '=LW5DAD/D' => '117,425,426',
   '=LW5DFR/D' => '117,425,426',
   '=LW5DLY/D' => '117,425,426',
   '=LW5DR/D' => '117,425,426',
   '=LW5EE/V' => '117,425,426',
   '=LW5EOL/D' => '117,425,426',
   '=LW6DTM/D' => '117,425,426',
+  '=LW6EAK/D' => '117,425,426',
   '=LW6EFR/D' => '117,425,426',
   '=LW7DAF/D' => '117,425,426',
   '=LW7DAF/W' => '117,425,426',
   '=LW8EXF/D' => '117,425,426',
   '=LW9DAE/W' => '117,425,426',
   '=LW9DCF/Y' => '117,425,426',
+  '=LW9DHU/D' => '117,425,426',
   '=LW9DMM/D' => '117,425,426',
   '=LW9DX/D' => '117,425,426',
   '=LW9EAG/D' => '117,425,426',
   '=N7DC' => '209',
   '=N7FF' => '209',
   '=N7GVV' => '209',
-  '=N7I' => '105,416,417',
   '=N7IV' => '209',
   '=N7KA' => '209',
   '=N7NG' => '209',
   '=OE3WWB/AAW' => '122',
   '=OP0LE' => '97,394,395,397,398,399,400,401,402,403,404,405,406,407,409,411',
   '=OR4TN' => '97,394,395,397,398,399,400,401,402,403,404,405,406,407,409,411',
-  '=R100W' => '174,525,530',
   '=R1ANB' => '97,394,395,397,398,399,400,401,402,403,404,405,406,407,409,411',
   '=R1ANC' => '97,394,395,397,398,399,400,401,402,403,404,405,406,407,409,411',
   '=R1AND' => '97,394,395,397,398,399,400,401,402,403,404,405,406,407,409,411',
   '=R1ANF' => '338',
   '=R1ANH' => '97,394,395,397,398,399,400,401,402,403,404,405,406,407,409,411',
-  '=R1ANL' => '97,394,395,397,398,399,400,401,402,403,404,405,406,407,409,411',
+  '=R1ANP' => '97,394,395,397,398,399,400,401,402,403,404,405,406,407,409,411',
   '=R1ANY' => '97,394,395,397,398,399,400,401,402,403,404,405,406,407,409,411',
   '=R35NP' => '174,525,530',
   '=R3F/9' => '174,525,530',
   '=R7C/1' => '171,518,519,520,521',
   '=R7C/3' => '171,518,519,520,521',
   '=R7C/4' => '171,518,519,520,521',
-  '=R900DM' => '171,518,519,520,521',
   '=R9HQ' => '174,525,530',
+  '=RP65FPP' => '174,525,530',
+  '=RP65MOH' => '174,525,530',
+  '=RP65R' => '174,525,530',
+  '=RP65TT' => '174,525,530',
+  '=RP65UMF' => '174,525,530',
   '=RW4AA/9' => '174,525,530',
   '=SM/OH2FFP' => '97,394,395,397,398,399,400,401,402,403,404,405,406,407,409,411',
   '=SP2FAP/FF' => '145',
   '=TO1C' => '46',
   '=TO1T' => '43',
   '=TO2ANT' => '43',
+  '=TO2BNL' => '58',
   '=TO2FG' => '43',
   '=TO2HI' => '43',
   '=TO2T' => '43',
   '=TX5C' => '48',
   '=TX5SPA' => '315',
   '=TX5SPM' => '316',
+  '=UA2AB' => '173',
+  '=UA2AC' => '173',
+  '=UA2AJ' => '173',
+  '=UA2AN' => '173',
+  '=UA2AO' => '173',
+  '=UA2AR' => '173',
+  '=UA2AW' => '173',
+  '=UA2BA' => '173',
+  '=UA2BD' => '173',
+  '=UA2BG' => '173',
+  '=UA2CK' => '173',
+  '=UA2CM' => '173',
+  '=UA2CN' => '173',
+  '=UA2CX' => '173',
+  '=UA2CY' => '173',
+  '=UA2CZ' => '173',
+  '=UA2DC' => '173',
+  '=UA2DF' => '173',
+  '=UA2DJ' => '173',
+  '=UA2DK' => '173',
+  '=UA2DM' => '173',
+  '=UA2DU' => '173',
+  '=UA2DV' => '173',
+  '=UA2EC' => '173',
+  '=UA2WJ' => '173',
+  '=UE60QA' => '174,525,530',
   '=UR2XO/WAP' => '175',
   '=UR5KCC/WAP' => '175',
   '=UR5KGG/WAP' => '175',
   '=VE3EY/2' => '189,545,546,547,548,549,550,551,552,553',
   '=VE3NE/2' => '189,545,546,547,548,549,550,551,552,553',
   '=VE3RHJ/2' => '189,545,546,547,548,549,550,551,552,553',
-  '=VE7AF/BY7KH' => '10,341,342,343',
   '=VE8AJ' => '189,545,546,547,548,549,550,551,552,553',
   '=VE8PW' => '189,545,546,547,548,549,550,551,552,553',
   '=VE8RCS' => '189,545,546,547,548,549,550,551,552,553',
-  '=VER20100207' => '189,545,546,547,548,549,550,551,552,553',
-  '=VERSION' => '166',
+  '=VER20100512' => '189,545,546,547,548,549,550,551,552,553',
+  '=VERSION' => '294',
   '=VK0HI' => '192',
   '=VK0IR' => '192',
   '=VK9AA' => '332',
-  '=VK9DWX' => '334',
-  '=VK9GMW' => '333',
   '=VP6DX' => '319',
   '=VP8DIF' => '335',
   '=VP8DJB' => '97,394,395,397,398,399,400,401,402,403,404,405,406,407,409,411',
   'R1AN' => '412',
   'R1FJ' => '172',
   'R1MV' => '300',
-  'R2' => '173',
+  'R2F' => '173',
+  'R2K' => '173',
   'R3' => '519',
   'R4' => '520',
   'R6' => '521',
-  'R7' => '174,525,530',
   'R8' => '531',
+  'R8H' => '174,525,530',
+  'R8I' => '174,525,530',
+  'R8O' => '174,525,530',
+  'R8P' => '174,525,530',
+  'R8S' => '174,525,530',
   'R8T' => '174,525,530',
+  'R8U' => '174,525,530',
   'R8V' => '174,525,530',
+  'R8Y' => '174,525,530',
+  'R8Z' => '174,525,530',
   'R9' => '525,530',
   'R9I' => '174,525,530',
   'R9M' => '174,525,530',
   'R9S' => '174,525,530',
   'R9T' => '174,525,530',
+  'R9U' => '174,525,530',
   'R9W' => '174,525,530',
   'RA0' => '522,524,528',
   'RA1' => '518',
   'RA1O' => '171,518,519,520,521',
   'RA1Z' => '171,518,519,520,521',
   'RA2' => '173',
+  'RA2F' => '173',
+  'RA2K' => '173',
   'RA3' => '519',
   'RA4' => '520',
   'RA4W' => '171,518,519,520,521',
   'RA6' => '521',
-  'RA7' => '174,525,530',
   'RA8' => '531',
+  'RA8H' => '174,525,530',
+  'RA8I' => '174,525,530',
+  'RA8O' => '174,525,530',
+  'RA8P' => '174,525,530',
+  'RA8S' => '174,525,530',
   'RA8T' => '174,525,530',
+  'RA8U' => '174,525,530',
   'RA8V' => '174,525,530',
+  'RA8Y' => '174,525,530',
+  'RA8Z' => '174,525,530',
   'RA9' => '525,530',
   'RA9I' => '174,525,530',
   'RA9M' => '174,525,530',
   'RA9P' => '174,525,530',
   'RA9S' => '174,525,530',
   'RA9T' => '174,525,530',
+  'RA9U' => '174,525,530',
   'RA9W' => '174,525,530',
   'RB0' => '522,524,528',
   'RB1' => '518',
   'RB2' => '173',
+  'RB2F' => '173',
+  'RB2K' => '173',
   'RB3' => '519',
   'RB4' => '520',
   'RB6' => '521',
-  'RB7' => '174,525,530',
   'RB8' => '531',
+  'RB8H' => '174,525,530',
+  'RB8I' => '174,525,530',
+  'RB8O' => '174,525,530',
+  'RB8P' => '174,525,530',
+  'RB8S' => '174,525,530',
   'RB8T' => '174,525,530',
+  'RB8U' => '174,525,530',
   'RB8V' => '174,525,530',
+  'RB8Y' => '174,525,530',
+  'RB8Z' => '174,525,530',
   'RB9' => '525,530',
   'RB9I' => '174,525,530',
   'RB9M' => '174,525,530',
   'RB9P' => '174,525,530',
   'RB9S' => '174,525,530',
   'RB9T' => '174,525,530',
+  'RB9U' => '174,525,530',
   'RB9W' => '174,525,530',
   'RC0' => '522,524,528',
   'RC1' => '518',
   'RC2' => '173',
+  'RC2F' => '173',
+  'RC2K' => '173',
   'RC3' => '519',
   'RC4' => '520',
   'RC6' => '521',
-  'RC7' => '174,525,530',
   'RC8' => '531',
+  'RC8H' => '174,525,530',
+  'RC8I' => '174,525,530',
+  'RC8O' => '174,525,530',
+  'RC8P' => '174,525,530',
+  'RC8S' => '174,525,530',
   'RC8T' => '174,525,530',
+  'RC8U' => '174,525,530',
   'RC8V' => '174,525,530',
+  'RC8Y' => '174,525,530',
+  'RC8Z' => '174,525,530',
   'RC9' => '525,530',
   'RC9I' => '174,525,530',
   'RC9M' => '174,525,530',
   'RC9P' => '174,525,530',
   'RC9S' => '174,525,530',
   'RC9T' => '174,525,530',
+  'RC9U' => '174,525,530',
   'RC9W' => '174,525,530',
   'RD0' => '522,524,528',
   'RD1' => '518',
   'RD1O' => '171,518,519,520,521',
   'RD1Z' => '171,518,519,520,521',
   'RD2' => '173',
+  'RD2F' => '173',
+  'RD2K' => '173',
   'RD3' => '519',
   'RD4' => '520',
   'RD4W' => '171,518,519,520,521',
   'RD6' => '521',
-  'RD7' => '174,525,530',
   'RD8' => '531',
+  'RD8H' => '174,525,530',
+  'RD8I' => '174,525,530',
+  'RD8O' => '174,525,530',
+  'RD8P' => '174,525,530',
+  'RD8S' => '174,525,530',
   'RD8T' => '174,525,530',
+  'RD8U' => '174,525,530',
   'RD8V' => '174,525,530',
+  'RD8Y' => '174,525,530',
+  'RD8Z' => '174,525,530',
   'RD9' => '525,530',
   'RD9I' => '174,525,530',
   'RD9M' => '174,525,530',
   'RD9P' => '174,525,530',
   'RD9S' => '174,525,530',
   'RD9T' => '174,525,530',
+  'RD9U' => '174,525,530',
   'RD9W' => '174,525,530',
   'RE0' => '522,524,528',
   'RE1' => '518',
   'RE2' => '173',
+  'RE2F' => '173',
+  'RE2K' => '173',
   'RE3' => '519',
   'RE4' => '520',
   'RE6' => '521',
-  'RE7' => '174,525,530',
   'RE8' => '531',
+  'RE8H' => '174,525,530',
+  'RE8I' => '174,525,530',
+  'RE8O' => '174,525,530',
+  'RE8P' => '174,525,530',
+  'RE8S' => '174,525,530',
   'RE8T' => '174,525,530',
+  'RE8U' => '174,525,530',
   'RE8V' => '174,525,530',
+  'RE8Y' => '174,525,530',
+  'RE8Z' => '174,525,530',
   'RE9' => '525,530',
   'RE9I' => '174,525,530',
   'RE9M' => '174,525,530',
   'RE9P' => '174,525,530',
   'RE9S' => '174,525,530',
   'RE9T' => '174,525,530',
+  'RE9U' => '174,525,530',
   'RE9W' => '174,525,530',
   'RF0' => '522,524,528',
   'RF1' => '518',
   'RF2' => '173',
+  'RF2F' => '173',
+  'RF2K' => '173',
   'RF3' => '519',
   'RF4' => '520',
   'RF6' => '521',
-  'RF7' => '174,525,530',
   'RF8' => '531',
+  'RF8H' => '174,525,530',
+  'RF8I' => '174,525,530',
+  'RF8O' => '174,525,530',
+  'RF8P' => '174,525,530',
+  'RF8S' => '174,525,530',
   'RF8T' => '174,525,530',
+  'RF8U' => '174,525,530',
   'RF8V' => '174,525,530',
+  'RF8Y' => '174,525,530',
+  'RF8Z' => '174,525,530',
   'RF9' => '525,530',
   'RF9I' => '174,525,530',
   'RF9M' => '174,525,530',
   'RF9P' => '174,525,530',
   'RF9S' => '174,525,530',
   'RF9T' => '174,525,530',
+  'RF9U' => '174,525,530',
   'RF9W' => '174,525,530',
   'RG0' => '522,524,528',
   'RG1' => '518',
   'RG2' => '173',
+  'RG2F' => '173',
+  'RG2K' => '173',
   'RG3' => '519',
   'RG4' => '520',
   'RG6' => '521',
-  'RG7' => '174,525,530',
   'RG8' => '531',
+  'RG8H' => '174,525,530',
+  'RG8I' => '174,525,530',
+  'RG8O' => '174,525,530',
+  'RG8P' => '174,525,530',
+  'RG8S' => '174,525,530',
   'RG8T' => '174,525,530',
+  'RG8U' => '174,525,530',
   'RG8V' => '174,525,530',
+  'RG8Y' => '174,525,530',
+  'RG8Z' => '174,525,530',
   'RG9' => '525,530',
   'RG9I' => '174,525,530',
   'RG9M' => '174,525,530',
   'RG9P' => '174,525,530',
   'RG9S' => '174,525,530',
   'RG9T' => '174,525,530',
+  'RG9U' => '174,525,530',
   'RG9W' => '174,525,530',
   'RH0' => '522,524,528',
   'RH1' => '518',
   'RH2' => '173',
+  'RH2F' => '173',
+  'RH2K' => '173',
   'RH3' => '519',
   'RH4' => '520',
   'RH6' => '521',
-  'RH7' => '174,525,530',
   'RH8' => '531',
+  'RH8H' => '174,525,530',
+  'RH8I' => '174,525,530',
+  'RH8O' => '174,525,530',
+  'RH8P' => '174,525,530',
+  'RH8S' => '174,525,530',
   'RH8T' => '174,525,530',
+  'RH8U' => '174,525,530',
   'RH8V' => '174,525,530',
+  'RH8Y' => '174,525,530',
+  'RH8Z' => '174,525,530',
   'RH9' => '525,530',
   'RH9I' => '174,525,530',
   'RH9M' => '174,525,530',
   'RH9P' => '174,525,530',
   'RH9S' => '174,525,530',
   'RH9T' => '174,525,530',
+  'RH9U' => '174,525,530',
   'RH9W' => '174,525,530',
   'RI0' => '522,524,528',
   'RI1' => '518',
+  'RI1AN' => '97,394,395,397,398,399,400,401,402,403,404,405,406,407,409,411',
+  'RI1FJ' => '172',
+  'RI1MV' => '300',
   'RI2' => '173',
+  'RI2F' => '173',
+  'RI2K' => '173',
   'RI3' => '519',
   'RI4' => '520',
   'RI6' => '521',
-  'RI7' => '174,525,530',
   'RI8' => '531',
+  'RI8H' => '174,525,530',
+  'RI8I' => '174,525,530',
+  'RI8O' => '174,525,530',
+  'RI8P' => '174,525,530',
+  'RI8S' => '174,525,530',
   'RI8T' => '174,525,530',
+  'RI8U' => '174,525,530',
   'RI8V' => '174,525,530',
+  'RI8Y' => '174,525,530',
+  'RI8Z' => '174,525,530',
   'RI9' => '525,530',
   'RI9I' => '174,525,530',
   'RI9M' => '174,525,530',
   'RI9P' => '174,525,530',
   'RI9S' => '174,525,530',
   'RI9T' => '174,525,530',
+  'RI9U' => '174,525,530',
   'RI9W' => '174,525,530',
   'RJ0' => '522,524,528',
   'RJ1' => '518',
   'RJ2' => '173',
+  'RJ2F' => '173',
+  'RJ2K' => '173',
   'RJ3' => '519',
   'RJ4' => '520',
   'RJ6' => '521',
-  'RJ7' => '174,525,530',
   'RJ8' => '531',
+  'RJ8H' => '174,525,530',
+  'RJ8I' => '174,525,530',
+  'RJ8O' => '174,525,530',
+  'RJ8P' => '174,525,530',
+  'RJ8S' => '174,525,530',
   'RJ8T' => '174,525,530',
+  'RJ8U' => '174,525,530',
   'RJ8V' => '174,525,530',
+  'RJ8Y' => '174,525,530',
+  'RJ8Z' => '174,525,530',
   'RJ9' => '525,530',
   'RJ9I' => '174,525,530',
   'RJ9M' => '174,525,530',
   'RJ9P' => '174,525,530',
   'RJ9S' => '174,525,530',
   'RJ9T' => '174,525,530',
+  'RJ9U' => '174,525,530',
   'RJ9W' => '174,525,530',
   'RK0' => '522,524,528',
   'RK1' => '518',
   'RK1O' => '171,518,519,520,521',
   'RK1Z' => '171,518,519,520,521',
   'RK2' => '173',
+  'RK2F' => '173',
+  'RK2K' => '173',
   'RK3' => '519',
   'RK4' => '520',
   'RK4W' => '171,518,519,520,521',
   'RK6' => '521',
-  'RK7' => '174,525,530',
   'RK8' => '531',
+  'RK8H' => '174,525,530',
+  'RK8I' => '174,525,530',
+  'RK8O' => '174,525,530',
+  'RK8P' => '174,525,530',
+  'RK8S' => '174,525,530',
   'RK8T' => '174,525,530',
+  'RK8U' => '174,525,530',
   'RK8V' => '174,525,530',
+  'RK8Y' => '174,525,530',
+  'RK8Z' => '174,525,530',
   'RK9' => '525,530',
   'RK9I' => '174,525,530',
   'RK9M' => '174,525,530',
   'RK9P' => '174,525,530',
   'RK9S' => '174,525,530',
   'RK9T' => '174,525,530',
+  'RK9U' => '174,525,530',
   'RK9W' => '174,525,530',
   'RL0' => '522,524,528',
   'RL1' => '518',
   'RL2' => '173',
+  'RL2F' => '173',
+  'RL2K' => '173',
   'RL3' => '519',
   'RL4' => '520',
   'RL6' => '521',
-  'RL7' => '174,525,530',
   'RL8' => '531',
+  'RL8H' => '174,525,530',
+  'RL8I' => '174,525,530',
+  'RL8O' => '174,525,530',
+  'RL8P' => '174,525,530',
+  'RL8S' => '174,525,530',
   'RL8T' => '174,525,530',
+  'RL8U' => '174,525,530',
   'RL8V' => '174,525,530',
+  'RL8Y' => '174,525,530',
+  'RL8Z' => '174,525,530',
   'RL9' => '525,530',
   'RL9I' => '174,525,530',
   'RL9M' => '174,525,530',
   'RL9P' => '174,525,530',
   'RL9S' => '174,525,530',
   'RL9T' => '174,525,530',
+  'RL9U' => '174,525,530',
   'RL9W' => '174,525,530',
   'RM0' => '522,524,528',
   'RM1' => '518',
   'RM1O' => '171,518,519,520,521',
   'RM1Z' => '171,518,519,520,521',
   'RM2' => '173',
+  'RM2F' => '173',
+  'RM2K' => '173',
   'RM3' => '519',
   'RM4' => '520',
   'RM4W' => '171,518,519,520,521',
   'RM6' => '521',
-  'RM7' => '174,525,530',
   'RM8' => '531',
+  'RM8H' => '174,525,530',
+  'RM8I' => '174,525,530',
+  'RM8O' => '174,525,530',
+  'RM8P' => '174,525,530',
+  'RM8S' => '174,525,530',
   'RM8T' => '174,525,530',
+  'RM8U' => '174,525,530',
   'RM8V' => '174,525,530',
+  'RM8Y' => '174,525,530',
+  'RM8Z' => '174,525,530',
   'RM9' => '525,530',
   'RM9I' => '174,525,530',
   'RM9M' => '174,525,530',
   'RM9P' => '174,525,530',
   'RM9S' => '174,525,530',
   'RM9T' => '174,525,530',
+  'RM9U' => '174,525,530',
   'RM9W' => '174,525,530',
   'RN0' => '522,524,528',
   'RN1' => '518',
   'RN1O' => '171,518,519,520,521',
   'RN1Z' => '171,518,519,520,521',
   'RN2' => '173',
+  'RN2F' => '173',
+  'RN2K' => '173',
   'RN3' => '519',
   'RN4' => '520',
   'RN4W' => '171,518,519,520,521',
   'RN6' => '521',
-  'RN7' => '174,525,530',
   'RN8' => '531',
+  'RN8H' => '174,525,530',
+  'RN8I' => '174,525,530',
+  'RN8O' => '174,525,530',
+  'RN8P' => '174,525,530',
+  'RN8S' => '174,525,530',
   'RN8T' => '174,525,530',
+  'RN8U' => '174,525,530',
   'RN8V' => '174,525,530',
+  'RN8Y' => '174,525,530',
+  'RN8Z' => '174,525,530',
   'RN9' => '525,530',
   'RN9I' => '174,525,530',
   'RN9M' => '174,525,530',
   'RN9P' => '174,525,530',
   'RN9S' => '174,525,530',
   'RN9T' => '174,525,530',
+  'RN9U' => '174,525,530',
   'RN9W' => '174,525,530',
   'RO0' => '522,524,528',
   'RO1' => '518',
   'RO2' => '173',
+  'RO2F' => '173',
+  'RO2K' => '173',
   'RO3' => '519',
   'RO4' => '520',
   'RO6' => '521',
-  'RO7' => '174,525,530',
   'RO8' => '531',
+  'RO8H' => '174,525,530',
+  'RO8I' => '174,525,530',
+  'RO8O' => '174,525,530',
+  'RO8P' => '174,525,530',
+  'RO8S' => '174,525,530',
   'RO8T' => '174,525,530',
+  'RO8U' => '174,525,530',
   'RO8V' => '174,525,530',
+  'RO8Y' => '174,525,530',
+  'RO8Z' => '174,525,530',
   'RO9' => '525,530',
   'RO9I' => '174,525,530',
   'RO9M' => '174,525,530',
   'RO9P' => '174,525,530',
   'RO9S' => '174,525,530',
   'RO9T' => '174,525,530',
+  'RO9U' => '174,525,530',
   'RO9W' => '174,525,530',
   'RP0' => '522,524,528',
   'RP1' => '518',
   'RP2' => '173',
+  'RP2F' => '173',
+  'RP2K' => '173',
   'RP3' => '519',
   'RP4' => '520',
   'RP6' => '521',
-  'RP7' => '174,525,530',
   'RP8' => '531',
+  'RP8H' => '174,525,530',
+  'RP8I' => '174,525,530',
+  'RP8O' => '174,525,530',
+  'RP8P' => '174,525,530',
+  'RP8S' => '174,525,530',
   'RP8T' => '174,525,530',
+  'RP8U' => '174,525,530',
   'RP8V' => '174,525,530',
+  'RP8Y' => '174,525,530',
+  'RP8Z' => '174,525,530',
   'RP9' => '525,530',
   'RP9I' => '174,525,530',
   'RP9M' => '174,525,530',
   'RP9P' => '174,525,530',
   'RP9S' => '174,525,530',
   'RP9T' => '174,525,530',
+  'RP9U' => '174,525,530',
   'RP9W' => '174,525,530',
   'RQ0' => '522,524,528',
   'RQ1' => '518',
   'RQ2' => '173',
+  'RQ2F' => '173',
+  'RQ2K' => '173',
   'RQ3' => '519',
   'RQ4' => '520',
   'RQ6' => '521',
-  'RQ7' => '174,525,530',
   'RQ8' => '531',
+  'RQ8H' => '174,525,530',
+  'RQ8I' => '174,525,530',
+  'RQ8O' => '174,525,530',
+  'RQ8P' => '174,525,530',
+  'RQ8S' => '174,525,530',
   'RQ8T' => '174,525,530',
+  'RQ8U' => '174,525,530',
   'RQ8V' => '174,525,530',
+  'RQ8Y' => '174,525,530',
+  'RQ8Z' => '174,525,530',
   'RQ9' => '525,530',
   'RQ9I' => '174,525,530',
   'RQ9M' => '174,525,530',
   'RQ9P' => '174,525,530',
   'RQ9S' => '174,525,530',
   'RQ9T' => '174,525,530',
+  'RQ9U' => '174,525,530',
   'RQ9W' => '174,525,530',
   'RR0' => '522,524,528',
   'RR1' => '518',
   'RR2' => '173',
+  'RR2F' => '173',
+  'RR2K' => '173',
   'RR3' => '519',
   'RR4' => '520',
   'RR6' => '521',
-  'RR7' => '174,525,530',
   'RR8' => '531',
+  'RR8H' => '174,525,530',
+  'RR8I' => '174,525,530',
+  'RR8O' => '174,525,530',
+  'RR8P' => '174,525,530',
+  'RR8S' => '174,525,530',
   'RR8T' => '174,525,530',
+  'RR8U' => '174,525,530',
   'RR8V' => '174,525,530',
+  'RR8Y' => '174,525,530',
+  'RR8Z' => '174,525,530',
   'RR9' => '525,530',
   'RR9I' => '174,525,530',
   'RR9M' => '174,525,530',
   'RR9P' => '174,525,530',
   'RR9S' => '174,525,530',
   'RR9T' => '174,525,530',
+  'RR9U' => '174,525,530',
   'RR9W' => '174,525,530',
   'RS0' => '522,524,528',
   'RS1' => '518',
   'RS2' => '173',
+  'RS2F' => '173',
+  'RS2K' => '173',
   'RS3' => '519',
   'RS4' => '520',
   'RS6' => '521',
-  'RS7' => '174,525,530',
   'RS8' => '531',
+  'RS8H' => '174,525,530',
+  'RS8I' => '174,525,530',
+  'RS8O' => '174,525,530',
+  'RS8P' => '174,525,530',
+  'RS8S' => '174,525,530',
   'RS8T' => '174,525,530',
+  'RS8U' => '174,525,530',
   'RS8V' => '174,525,530',
+  'RS8Y' => '174,525,530',
+  'RS8Z' => '174,525,530',
   'RS9' => '525,530',
   'RS9I' => '174,525,530',
   'RS9M' => '174,525,530',
   'RS9P' => '174,525,530',
   'RS9S' => '174,525,530',
   'RS9T' => '174,525,530',
+  'RS9U' => '174,525,530',
   'RS9W' => '174,525,530',
   'RT0' => '522,524,528',
   'RT1' => '518',
   'RT2' => '173',
+  'RT2F' => '173',
+  'RT2K' => '173',
   'RT3' => '519',
   'RT4' => '520',
   'RT6' => '521',
-  'RT7' => '174,525,530',
   'RT8' => '531',
+  'RT8H' => '174,525,530',
+  'RT8I' => '174,525,530',
+  'RT8O' => '174,525,530',
+  'RT8P' => '174,525,530',
+  'RT8S' => '174,525,530',
   'RT8T' => '174,525,530',
+  'RT8U' => '174,525,530',
   'RT8V' => '174,525,530',
+  'RT8Y' => '174,525,530',
+  'RT8Z' => '174,525,530',
   'RT9' => '525,530',
   'RT9I' => '174,525,530',
   'RT9M' => '174,525,530',
   'RT9P' => '174,525,530',
   'RT9S' => '174,525,530',
   'RT9T' => '174,525,530',
+  'RT9U' => '174,525,530',
   'RT9W' => '174,525,530',
   'RU0' => '522,524,528',
   'RU1' => '518',
   'RU1O' => '171,518,519,520,521',
   'RU1Z' => '171,518,519,520,521',
   'RU2' => '173',
+  'RU2F' => '173',
+  'RU2K' => '173',
   'RU3' => '519',
   'RU4' => '520',
   'RU4W' => '171,518,519,520,521',
   'RU6' => '521',
-  'RU7' => '174,525,530',
   'RU8' => '531',
+  'RU8H' => '174,525,530',
+  'RU8I' => '174,525,530',
+  'RU8O' => '174,525,530',
+  'RU8P' => '174,525,530',
+  'RU8S' => '174,525,530',
   'RU8T' => '174,525,530',
+  'RU8U' => '174,525,530',
   'RU8V' => '174,525,530',
+  'RU8Y' => '174,525,530',
+  'RU8Z' => '174,525,530',
   'RU9' => '525,530',
   'RU9I' => '174,525,530',
   'RU9M' => '174,525,530',
   'RU9P' => '174,525,530',
   'RU9S' => '174,525,530',
   'RU9T' => '174,525,530',
+  'RU9U' => '174,525,530',
   'RU9W' => '174,525,530',
   'RV0' => '522,524,528',
   'RV1' => '518',
   'RV1O' => '171,518,519,520,521',
   'RV1Z' => '171,518,519,520,521',
   'RV2' => '173',
+  'RV2F' => '173',
+  'RV2K' => '173',
   'RV3' => '519',
   'RV4' => '520',
   'RV4W' => '171,518,519,520,521',
   'RV6' => '521',
-  'RV7' => '174,525,530',
   'RV8' => '531',
+  'RV8H' => '174,525,530',
+  'RV8I' => '174,525,530',
+  'RV8O' => '174,525,530',
+  'RV8P' => '174,525,530',
+  'RV8S' => '174,525,530',
   'RV8T' => '174,525,530',
+  'RV8U' => '174,525,530',
   'RV8V' => '174,525,530',
+  'RV8Y' => '174,525,530',
+  'RV8Z' => '174,525,530',
   'RV9' => '525,530',
   'RV9I' => '174,525,530',
   'RV9M' => '174,525,530',
   'RV9P' => '174,525,530',
   'RV9S' => '174,525,530',
   'RV9T' => '174,525,530',
+  'RV9U' => '174,525,530',
   'RV9W' => '174,525,530',
   'RW0' => '522,524,528',
   'RW1' => '518',
   'RW1O' => '171,518,519,520,521',
   'RW1Z' => '171,518,519,520,521',
   'RW2' => '173',
+  'RW2F' => '173',
+  'RW2K' => '173',
   'RW3' => '519',
   'RW4' => '520',
   'RW4W' => '171,518,519,520,521',
   'RW6' => '521',
-  'RW7' => '174,525,530',
   'RW8' => '531',
+  'RW8H' => '174,525,530',
+  'RW8I' => '174,525,530',
+  'RW8O' => '174,525,530',
+  'RW8P' => '174,525,530',
+  'RW8S' => '174,525,530',
   'RW8T' => '174,525,530',
+  'RW8U' => '174,525,530',
   'RW8V' => '174,525,530',
+  'RW8Y' => '174,525,530',
+  'RW8Z' => '174,525,530',
   'RW9' => '525,530',
   'RW9I' => '174,525,530',
   'RW9M' => '174,525,530',
   'RW9P' => '174,525,530',
   'RW9S' => '174,525,530',
   'RW9T' => '174,525,530',
+  'RW9U' => '174,525,530',
   'RW9W' => '174,525,530',
   'RX0' => '522,524,528',
   'RX1' => '518',
   'RX2' => '173',
+  'RX2F' => '173',
+  'RX2K' => '173',
   'RX3' => '519',
   'RX4' => '520',
   'RX6' => '521',
-  'RX7' => '174,525,530',
   'RX8' => '531',
+  'RX8H' => '174,525,530',
+  'RX8I' => '174,525,530',
+  'RX8O' => '174,525,530',
+  'RX8P' => '174,525,530',
+  'RX8S' => '174,525,530',
   'RX8T' => '174,525,530',
+  'RX8U' => '174,525,530',
   'RX8V' => '174,525,530',
+  'RX8Y' => '174,525,530',
+  'RX8Z' => '174,525,530',
   'RX9' => '525,530',
   'RX9I' => '174,525,530',
   'RX9M' => '174,525,530',
   'RX9P' => '174,525,530',
   'RX9S' => '174,525,530',
   'RX9T' => '174,525,530',
+  'RX9U' => '174,525,530',
   'RX9W' => '174,525,530',
   'RY0' => '522,524,528',
   'RY1' => '518',
   'RY2' => '173',
+  'RY2F' => '173',
+  'RY2K' => '173',
   'RY3' => '519',
   'RY4' => '520',
   'RY6' => '521',
-  'RY7' => '174,525,530',
   'RY8' => '531',
+  'RY8H' => '174,525,530',
+  'RY8I' => '174,525,530',
+  'RY8O' => '174,525,530',
+  'RY8P' => '174,525,530',
+  'RY8S' => '174,525,530',
   'RY8T' => '174,525,530',
+  'RY8U' => '174,525,530',
   'RY8V' => '174,525,530',
+  'RY8Y' => '174,525,530',
+  'RY8Z' => '174,525,530',
   'RY9' => '525,530',
   'RY9I' => '174,525,530',
   'RY9M' => '174,525,530',
   'RY9P' => '174,525,530',
   'RY9S' => '174,525,530',
   'RY9T' => '174,525,530',
+  'RY9U' => '174,525,530',
   'RY9W' => '174,525,530',
   'RZ0' => '522,524,528',
   'RZ1' => '518',
   'RZ2' => '173',
+  'RZ2F' => '173',
+  'RZ2K' => '173',
   'RZ3' => '519',
   'RZ4' => '520',
   'RZ6' => '521',
-  'RZ7' => '174,525,530',
   'RZ8' => '531',
+  'RZ8H' => '174,525,530',
+  'RZ8I' => '174,525,530',
+  'RZ8O' => '174,525,530',
+  'RZ8P' => '174,525,530',
+  'RZ8S' => '174,525,530',
   'RZ8T' => '174,525,530',
+  'RZ8U' => '174,525,530',
   'RZ8V' => '174,525,530',
+  'RZ8Y' => '174,525,530',
+  'RZ8Z' => '174,525,530',
   'RZ9' => '525,530',
   'RZ9I' => '174,525,530',
   'RZ9M' => '174,525,530',
   'RZ9P' => '174,525,530',
   'RZ9S' => '174,525,530',
   'RZ9T' => '174,525,530',
+  'RZ9U' => '174,525,530',
   'RZ9W' => '174,525,530',
   'S0' => '303',
   'S2' => '141',
   'U' => '517',
   'U0' => '522,524,528',
   'U1' => '518',
-  'U2' => '173',
+  'U2F' => '173',
+  'U2K' => '173',
   'U3' => '519',
   'U4' => '520',
   'U5' => '175',
   'U6' => '521',
-  'U7' => '174,525,530',
   'U8' => '531',
+  'U8H' => '174,525,530',
+  'U8I' => '174,525,530',
+  'U8O' => '174,525,530',
+  'U8P' => '174,525,530',
+  'U8S' => '174,525,530',
   'U8T' => '174,525,530',
+  'U8U' => '174,525,530',
   'U8V' => '174,525,530',
+  'U8Y' => '174,525,530',
+  'U8Z' => '174,525,530',
   'U9' => '525,530',
   'U9I' => '174,525,530',
   'U9M' => '174,525,530',
   'U9P' => '174,525,530',
   'U9S' => '174,525,530',
   'U9T' => '174,525,530',
+  'U9U' => '174,525,530',
   'U9W' => '174,525,530',
   'UA' => '171,518,519,520,521',
   'UA0' => '523,524,526,527,529',
   'UA1O' => '171,518,519,520,521',
   'UA1Z' => '171,518,519,520,521',
   'UA2' => '173',
+  'UA2F' => '173',
+  'UA2K' => '173',
   'UA3' => '519',
   'UA4' => '520',
   'UA4W' => '171,518,519,520,521',
   'UA6' => '521',
-  'UA7' => '174,525,530',
   'UA8' => '531',
+  'UA8H' => '174,525,530',
+  'UA8I' => '174,525,530',
+  'UA8O' => '174,525,530',
+  'UA8P' => '174,525,530',
+  'UA8S' => '174,525,530',
   'UA8T' => '174,525,530',
+  'UA8U' => '174,525,530',
   'UA8V' => '174,525,530',
+  'UA8Y' => '174,525,530',
+  'UA8Z' => '174,525,530',
   'UA9' => '174,525,530',
   'UA9I' => '174,525,530',
   'UA9M' => '174,525,530',
   'UA9P' => '174,525,530',
   'UA9S' => '174,525,530',
   'UA9T' => '174,525,530',
+  'UA9U' => '174,525,530',
   'UA9W' => '174,525,530',
   'UB0' => '522,524,528',
   'UB1' => '518',
   'UB2' => '173',
+  'UB2F' => '173',
+  'UB2K' => '173',
   'UB3' => '519',
   'UB4' => '520',
   'UB6' => '521',
-  'UB7' => '174,525,530',
   'UB8' => '531',
+  'UB8H' => '174,525,530',
+  'UB8I' => '174,525,530',
+  'UB8O' => '174,525,530',
+  'UB8P' => '174,525,530',
+  'UB8S' => '174,525,530',
   'UB8T' => '174,525,530',
+  'UB8U' => '174,525,530',
   'UB8V' => '174,525,530',
+  'UB8Y' => '174,525,530',
+  'UB8Z' => '174,525,530',
   'UB9' => '525,530',
   'UB9I' => '174,525,530',
   'UB9M' => '174,525,530',
   'UB9P' => '174,525,530',
   'UB9S' => '174,525,530',
   'UB9T' => '174,525,530',
+  'UB9U' => '174,525,530',
   'UB9W' => '174,525,530',
   'UC0' => '522,524,528',
   'UC1' => '518',
   'UC2' => '173',
+  'UC2F' => '173',
+  'UC2K' => '173',
   'UC3' => '519',
   'UC4' => '520',
   'UC6' => '521',
-  'UC7' => '174,525,530',
   'UC8' => '531',
+  'UC8H' => '174,525,530',
+  'UC8I' => '174,525,530',
+  'UC8O' => '174,525,530',
+  'UC8P' => '174,525,530',
+  'UC8S' => '174,525,530',
   'UC8T' => '174,525,530',
+  'UC8U' => '174,525,530',
   'UC8V' => '174,525,530',
+  'UC8Y' => '174,525,530',
+  'UC8Z' => '174,525,530',
   'UC9' => '525,530',
   'UC9I' => '174,525,530',
   'UC9M' => '174,525,530',
   'UC9P' => '174,525,530',
   'UC9S' => '174,525,530',
   'UC9T' => '174,525,530',
+  'UC9U' => '174,525,530',
   'UC9W' => '174,525,530',
   'UD0' => '522,524,528',
   'UD1' => '518',
   'UD2' => '173',
+  'UD2F' => '173',
+  'UD2K' => '173',
   'UD3' => '519',
   'UD4' => '520',
   'UD6' => '521',
-  'UD7' => '174,525,530',
   'UD8' => '531',
+  'UD8H' => '174,525,530',
+  'UD8I' => '174,525,530',
+  'UD8O' => '174,525,530',
+  'UD8P' => '174,525,530',
+  'UD8S' => '174,525,530',
   'UD8T' => '174,525,530',
+  'UD8U' => '174,525,530',
   'UD8V' => '174,525,530',
+  'UD8Y' => '174,525,530',
+  'UD8Z' => '174,525,530',
   'UD9' => '525,530',
   'UD9I' => '174,525,530',
   'UD9M' => '174,525,530',
   'UD9P' => '174,525,530',
   'UD9S' => '174,525,530',
   'UD9T' => '174,525,530',
+  'UD9U' => '174,525,530',
   'UD9W' => '174,525,530',
   'UE0' => '522,524,528',
   'UE1' => '518',
   'UE2' => '173',
+  'UE2F' => '173',
+  'UE2K' => '173',
   'UE3' => '519',
   'UE4' => '520',
   'UE6' => '521',
-  'UE7' => '174,525,530',
   'UE8' => '531',
+  'UE8H' => '174,525,530',
+  'UE8I' => '174,525,530',
+  'UE8O' => '174,525,530',
+  'UE8P' => '174,525,530',
+  'UE8S' => '174,525,530',
   'UE8T' => '174,525,530',
+  'UE8U' => '174,525,530',
   'UE8V' => '174,525,530',
+  'UE8Y' => '174,525,530',
+  'UE8Z' => '174,525,530',
   'UE9' => '525,530',
   'UE9I' => '174,525,530',
   'UE9M' => '174,525,530',
   'UE9P' => '174,525,530',
   'UE9S' => '174,525,530',
   'UE9T' => '174,525,530',
+  'UE9U' => '174,525,530',
   'UE9W' => '174,525,530',
   'UF0' => '522,524,528',
   'UF1' => '518',
   'UF2' => '173',
+  'UF2F' => '173',
+  'UF2K' => '173',
   'UF3' => '519',
   'UF4' => '520',
   'UF6' => '521',
-  'UF7' => '174,525,530',
   'UF8' => '531',
+  'UF8H' => '174,525,530',
+  'UF8I' => '174,525,530',
+  'UF8O' => '174,525,530',
+  'UF8P' => '174,525,530',
+  'UF8S' => '174,525,530',
   'UF8T' => '174,525,530',
+  'UF8U' => '174,525,530',
   'UF8V' => '174,525,530',
+  'UF8Y' => '174,525,530',
+  'UF8Z' => '174,525,530',
   'UF9' => '525,530',
   'UF9I' => '174,525,530',
   'UF9M' => '174,525,530',
   'UF9P' => '174,525,530',
   'UF9S' => '174,525,530',
   'UF9T' => '174,525,530',
+  'UF9U' => '174,525,530',
   'UF9W' => '174,525,530',
   'UG0' => '522,524,528',
   'UG1' => '518',
   'UG2' => '173',
+  'UG2F' => '173',
+  'UG2K' => '173',
   'UG3' => '519',
   'UG4' => '520',
   'UG6' => '521',
-  'UG7' => '174,525,530',
   'UG8' => '531',
+  'UG8H' => '174,525,530',
+  'UG8I' => '174,525,530',
+  'UG8O' => '174,525,530',
+  'UG8P' => '174,525,530',
+  'UG8S' => '174,525,530',
   'UG8T' => '174,525,530',
+  'UG8U' => '174,525,530',
   'UG8V' => '174,525,530',
+  'UG8Y' => '174,525,530',
+  'UG8Z' => '174,525,530',
   'UG9' => '525,530',
   'UG9I' => '174,525,530',
   'UG9M' => '174,525,530',
   'UG9P' => '174,525,530',
   'UG9S' => '174,525,530',
   'UG9T' => '174,525,530',
+  'UG9U' => '174,525,530',
   'UG9W' => '174,525,530',
   'UH0' => '522,524,528',
   'UH1' => '518',
   'UH2' => '173',
+  'UH2F' => '173',
+  'UH2K' => '173',
   'UH3' => '519',
   'UH4' => '520',
   'UH6' => '521',
-  'UH7' => '174,525,530',
   'UH8' => '531',
+  'UH8H' => '174,525,530',
+  'UH8I' => '174,525,530',
+  'UH8O' => '174,525,530',
+  'UH8P' => '174,525,530',
+  'UH8S' => '174,525,530',
   'UH8T' => '174,525,530',
+  'UH8U' => '174,525,530',
   'UH8V' => '174,525,530',
+  'UH8Y' => '174,525,530',
+  'UH8Z' => '174,525,530',
   'UH9' => '525,530',
   'UH9I' => '174,525,530',
   'UH9M' => '174,525,530',
   'UH9P' => '174,525,530',
   'UH9S' => '174,525,530',
   'UH9T' => '174,525,530',
+  'UH9U' => '174,525,530',
   'UH9W' => '174,525,530',
   'UI0' => '522,524,528',
   'UI1' => '518',
   'UI2' => '173',
+  'UI2F' => '173',
+  'UI2K' => '173',
   'UI3' => '519',
   'UI4' => '520',
   'UI6' => '521',
-  'UI7' => '174,525,530',
   'UI8' => '531',
+  'UI8H' => '174,525,530',
+  'UI8I' => '174,525,530',
+  'UI8O' => '174,525,530',
+  'UI8P' => '174,525,530',
+  'UI8S' => '174,525,530',
   'UI8T' => '174,525,530',
+  'UI8U' => '174,525,530',
   'UI8V' => '174,525,530',
+  'UI8Y' => '174,525,530',
+  'UI8Z' => '174,525,530',
   'UI9' => '525,530',
   'UI9I' => '174,525,530',
   'UI9M' => '174,525,530',
   'UI9P' => '174,525,530',
   'UI9S' => '174,525,530',
   'UI9T' => '174,525,530',
+  'UI9U' => '174,525,530',
   'UI9W' => '174,525,530',
   'UJ' => '181',
   'UK' => '181',
index 289498ce27afdfdf1e9ff66cf3228f2c805784a1..72f411949861f581e7567d1edcb4203d00fe0164 100644 (file)
@@ -65,7 +65,7 @@ $badnode = new DXHash "badnode";
 $last10 = $last_pc50 = time;
 $ann_to_talk = 1;
 $eph_restime = 60;
-$eph_info_restime = 60*60;
+$eph_info_restime = 18*60*60;
 $eph_pc15_restime = 6*60;
 $eph_pc34_restime = 30;
 $pingint = 5*60;
index fc9c3a5acd3b64622a35ea36e0d27d125bfdb393..ddd32c66c0152b8f1b8fdd22194ecead59f4a31f 100644 (file)
@@ -11,6 +11,6 @@ use vars qw($version $subversion $build);
 
 $version = '1.55';
 $subversion = '0';
-$build = '67';
+$build = '69';
 
 1;